Generalized ASIC Design Flow

Similar documents
University of Texas at Dallas. Department of Electrical Engineering. EEDG Application Specific Integrated Circuit Design

How To Design A Chip Layout

IL2225 Physical Design

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

Implementation Details

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Space product assurance

Introduction to Digital System Design

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

Chapter 13: Verification

Engineering Change Order (ECO) Support in Programmable Logic Design

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

Digital Systems Design! Lecture 1 - Introduction!!

Introduction to Functional Verification. Niels Burkhardt

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic

Hunting Asynchronous CDC Violations in the Wild

Digital Design Verification

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems.

Testing of Digital System-on- Chip (SoC)

System-on-Chip Design with Virtual Components

Design Methodology for Engineering Change Orders (ECOs) in a Flat Physical Standard Cells Based Design Environment

9/14/ :38

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

VLSI Design Verification and Testing

Digital IC Design Flow

Getting the Most Out of Synthesis

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Sequential 4-bit Adder Design Report

Encounter DFT Architect

Modeling a GPS Receiver Using SystemC

System on Chip Design. Michael Nydegger

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

At-Speed Test Considering Deep Submicron Effects. D. M. H. Walker Dept. of Computer Science Texas A&M University

Module-I Lecture-I Introduction to Digital VLSI Design Flow

VHDL Test Bench Tutorial

A Methodology and the Tool for Testing SpaceWire Routing Switches Session: SpaceWire test and verification

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

SDLC Controller. Documentation. Design File Formats. Verification

System-on-Chip Design Verification: Challenges and State-of-the-art

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing

VHDL-Testbench as Executable Specification

Architectures and Platforms

Lecture 7: Clocking of VLSI Systems

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic

IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR

CLOCK DOMAIN CROSSING CLOSING THE LOOP ON CLOCK DOMAIN FUNCTIONAL IMPLEMENTATION PROBLEMS

Introduction to VLSI Testing

What is a System on a Chip?

Design Verification & Testing Design for Testability and Scan

DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM

ISO 26262:2011 Functional Safety Assessment Report. Texas Instruments Richardson, TX USA. Project: TDA2X ADAS SoC. Customer:

Eingebettete Systeme. 4: Entwurfsmethodik, HW/SW Co-Design. Technische Informatik T T T

Product Development Flow Including Model- Based Design and System-Level Functional Verification

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

Latch Timing Parameters. Flip-flop Timing Parameters. Typical Clock System. Clocking Overhead

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai Jens Onno Krah

A New Paradigm for Synchronous State Machine Design in Verilog

7a. System-on-chip design and prototyping platforms

Reviving smart card analysis

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems

VHDL GUIDELINES FOR SYNTHESIS

AES1. Ultra-Compact Advanced Encryption Standard Core. General Description. Base Core Features. Symbol. Applications

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models

Understanding DO-254 Compliance for the Verification of Airborne Digital Hardware

A Verilog HDL Test Bench Primer Application Note

Verification of Triple Modular Redundancy (TMR) Insertion for Reliable and Trusted Systems

Design-Kits, Libraries & IPs

Optimal Technology Mapping and Cell Merger for Asynchronous Threshold Networks

High-Level Synthesis for FPGA Designs

SoC Curricula at Tallinn Technical University

Manchester Encoder-Decoder for Xilinx CPLDs

Codesign: The World Of Practice

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures

design Synopsys and LANcity

Rapid System Prototyping with FPGAs

Low Power AMD Athlon 64 and AMD Opteron Processors

Hardware Trojans Detection Methods Julien FRANCQ

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU

Asynchronous & Synchronous Reset Design Techniques - Part Deux

RTL Low Power Techniques for System-On-Chip Designs

EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview

EXPERIMENT 8. Flip-Flops and Sequential Circuits

Chapter 2 Clocks and Resets

Quality. Stages. Alun D. Jones

PROGETTO DI SISTEMI ELETTRONICI DIGITALI. Digital Systems Design. Digital Circuits Advanced Topics

Quartus II Introduction for VHDL Users

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell.

Assertion Synthesis Enabling Assertion-Based Verification For Simulation, Formal and Emulation Flows

Document Contents Introduction Layout Extraction with Parasitic Capacitances Timing Analysis DC Analysis

Quartus Prime Standard Edition Handbook Volume 3: Verification

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies

Platform-Based Design and the First Generation Dilemma Jiang Xu and Wayne Wolf

CADENCE LAYOUT TUTORIAL

Multiplexers Two Types + Verilog

Lecture 5: Gate Logic Logic Optimization

Transcription:

Generalized ASIC Design Flow High Level Design Specification Capture Design Capture in C, C++, SystemC or SystemVerilog HW/SW partitioning and IP selection RTL Design Verilog/VHDL System, Timing and Logic Verification Is the logic working correctly? Physical Design Floorplanning, Place and Route, Clock insertion Performance and Manufacturability Verification Extraction of Physical View Verification of timing and signal integrity Design Rule Checking/ LVS Adapted from: Dr. Paul D Franzon, www.ece.ncsu.edu/erl/faculty/paulf.html 1

RTL Synthesis Flow 2

Logic Design and Verification Design starts with a specification Text description or system specification language Example: C, SystemC, SystemVerilog RTL Description Automated conversion from system specification to RTL possible Example: Cadence C-to-Silicon Compiler Most often designer manually converts to Verilog or VHDL Verification Generate test-benches and run simulations to verify functionality Assertion based verification Automated test-bench generation 3

RTL Synthesis and Verification RTL Synthesis Automated generation of generic gate description from RTL description Logic optimization for speed and area State machine decomposition, datapath optimization, power optimization Modern tools integrate global place-and-route capabilities Library Mapping Translates a generic gate level description to a netlist using a target library Functional or Formal Verification HDL ambiguities can cause the synthesis tool to produce incorrect netlist Rerun functional verification on the gate level netlist Formal verification Model checking: prove that certain assertions are true Equivalence checking: compare two design descriptions 4

Static Timing Analysis Checks temporal requirements of the design Uses intrinsic gate delay information and estimated routing loads to exhaustively evaluate all timing paths Requires timing information for any macro-blocks e.g. memories Will evaluate set-up and hold-time violations Special cases need to be flagged using timing constraints (more later) Reports slack time Re-synthesize the circuit or re-design to improve delay 5

Test Insertion and Power Analysis Insert various DFT features to perform device testing using Automated Test Equipment (ATE) and system level tests Scan enabled flip-flops and scan chains Automatic Test Pattern Generation (ATPG) tools generate test vectors to perform logic and parametric testing Built-in Self Test Logic: Based on LFSR (random-patterns) and MISR (signature) (LBIST) Memory: Implements various memory testing algorithms (MBIST) Boundary-Scan/JTAG Enables board/system level testing More on DFT and test insertion later Power Analysis Power analysis tools predict power consumption of the circuit Either test vectors or probabilistic activity factors used for estimation 6

Standard Cell Place and Route Flow 7

Floorplanning /Placement/Routing Manually place major modules in the chip depending on connections with other modules Standard cell rows are defined next and the gates are placed No routing channels between rows in newer technologies Timing driven placement tries to minimize delay on critical paths Routing Route special nets Power, Ground Clock tree synthesis/ routing Minimize skew Insert buffers Global and detailed routing of signal nets 8

Verification Steps Parasitic Extraction Detailed parasitic extraction after routing 2D, 2.5D and 3D extraction possible, output is SPEF, RSPF, ESPF etc. Timing Analysis Static timing analysis, iterate if there are problems If possible, full chip simulation at transistor-level using fast spice simulators E.g. Nanosim, Ultrasim Crosstalk, V DD Drop, Electromigration Analysis Power Analysis More detailed wire capacitance available for power analysis, iterate if there are problems 9

Timing Driven Placement 10