Design Methodology for Engineering Change Orders (ECOs) in a Flat Physical Standard Cells Based Design Environment

Size: px
Start display at page:

Download "Design Methodology for Engineering Change Orders (ECOs) in a Flat Physical Standard Cells Based Design Environment"

Transcription

1 Diploma Thesis Design Methodology for Engineering Change Orders (ECOs) in a Flat Physical Standard Cells Based Design Environment submitted by Anton Klotz

2 Standard-Cells Based Design Flow (1) Picture of a standard cell

3 Standard-Cells Based Design Flow (2) Cells on an ASIC (Netlist)

4 Standard-Cells Based Design Flow (3) Logical Design VHDL, Verilog, Schematic Synthesis RTL -> Gate-Level-Netlist Physical Design Placement, Timing, Routing...

5 Standard-Cells Based Design Flow (4) Flat vs. Hierachical Logical Design Synthesis Synthesis Synthesis Physical Design

6 Physical Design Flow (1) Floorplaning Clock-Tree Design Placement Routing Timing Optmization In-Place-Optimization Static Timing Analysis Release-Checks Check Flow Release

7 What Is an ECO? cells to be exchanged

8 Reasons for an ECO Correction of a logic error during the PD-flow Exchange of timing critical modules during the PD-flow for logic redesign

9 Existing ECO-Solutions (1) (a) (b) 1. PD-Designer sends the current netlist to the logic designer 2. Logic designer inserts manually cells and nets and writes a TCL-script 3. PD-Designer executes the TCL script on his netlist

10 Time consuming and can be faulty Existing ECO-Solutions (2) Disadvantages After passing the netlist to the logic designer, the physical designer is not allowed to change the net and cell names No cloning or inserting of inverters is allowed Remaining false cells increase fan-in, consume area and power Advantages ECO is possible in very late stages of PD (Metal-ECO)

11 Tasks Of the Diploma Thesis (1) Module Change ECO Localization and exchange of a logic module from a netlist after different PD-steps Providing PD-steps on the changed module

12 Tasks Of the Diploma Thesis (2) Minimal ECO Structural analysis of two modules and exchange of different cells for minimizing the amount of exchanged logic

13 Requirements For a Successful ECO (1) Hierarchical Synthesis Modules must be synthesized separately Flattening is the last step of the synthesis module_a module_b top_module_c module_a module_b top_module_c No Boundary Optimization Is Allowed!

14 Requirements For a Successful ECO (2) Every tool marks cells, which are new or changed Every tool writes a log file with executed operations Inserted modules must be flat Number and names of the interface-nets of the module are not allowed to change top-module input module standard output interface-net inter- cell face-net

15 Module Change ECO (1) Main duties: 1. Identification and assignment of cells and nets as part of the module 2. Searching for the interface nets, which are borders of the module 3. Removal of cells and nets, which belong to the module 4. Insertion of the new module in a way, that no inputs and outputs remain open and formal verification reports no logic errors 5. After exchange, all the PD-steps which have been provided on the whole netlist, have to be provided on the module cells only.

16 Module Change ECO (2) Searching for the module-cells (1) core A chk and$1 Name of the cell: core.a.chk.and$1

17 Module Change ECO (3) Searching for the module-cells (2) core core.a1 core.a2 core.a3 core.a4 Several Instances of a Module

18 Module Change ECO (4) Searching for the interface-nets (1) top-module virtual PPIN module top-module DATA_IN(0) flattened module DATA_IN(0) TOP-DATA(0) before flattening after flattening

19 Module Change ECO (5) Searching for the interface-nets (2) output interface-net top-net logic_cell1 logic_cell2 inv2=0 inv2=1 inv1=1 inv2=1 inv1=0 output interface-net logic_cell1 logic_cell2 output interface-net logic_cell1 logic_cell2

20 Module Change ECO (6) Reverse of LLO (1) LLO LLO Reverse

21 Module Change ECO (7) Reverse of LLO (2) Pin Swapping a b a b ineterface_net interface-netnet_a net_a logic_cell net_a logic_cell Find net, which connects to the module Update the entry in the top-nets-file

22 Module Change ECO (8) Removal of Cells and Recovery of the Interface-Nets (1) interface_net top-net cell1_logic cell2_logic cell1_logic cell2_logic

23 Module Change ECO (9) Removal of Cells and Recover of the Interface-Nets (2) cell1_logic cell2_logic interface_net top-net cell1_logic cell2_logic

24 Module Change ECO (10) Some Benchmarks on ET4X4 (1) Number of mov. objects in pre-routing netlist: Number of cells in the module to exchange: Number of instances: 4 Total of cells to exchange: Time Required For ECO Loading the data into ChipBench 13 min Revert LLO-step 33 min Replace instances 3h 11 min Save data 52 min Formal Verification 2h 27 min Total: 8h 26 min

25 Module Change ECO (11) (18) Some Benchmarks on ET4X4 (2) PD-Steps processed with and without ECO PD - Step with ECO without ECO % API-2-VIM 12m 10s 09m 31s +27% Initial Placement 9h 47m 00s 6h 49m 48s +43% First DelayOpt run 5h 18m 22s 17h 53m 36s -70% Adding Netweight to timing critical nets 06m 53s 10m 00s -31% Timing Driven Placement 25h 02m 59s 6h 46m 27s +369% Second DelayOpt run 5h 09m 54s 14h 18m 17s -63% LLO Run 2h 57m 48s 13h 16m 54s -77% Power Vias insertion 26m 57s 38m 53s -30% Routing 10h 55m 52s 100h 23m 04s -89% Total 59h 55m 57s 160h 26m 40s -62%

26 Module Change ECO (12) Some Benchmarks on ET4X4 (3) with ECO without ECO % ECO-Processing 8h 26m 00s - - Scan chain optimization - 06m 41s - nwell Insertion - 57m 11s - Clock Tree Synthesis - 5h 04m 30s - Clock Tree Routing - 3h 09m 58s - PD-Processing for both Netlists 59h 55m 57s 160h 26m 40s -62% Totals 68h 21m 57s 169h 44m 10s -60%

27 Module Change ECO (13) Some Benchmarks on ET4X4 (4) with ECO without ECO s e c after LLO after Clock- Tree Insertion after Routing

28 Minimal ECO (1) affected by the change partly affected by the change not affected by the change not changed modules changed module top-module

29 Minimal ECO (2) Change in Combinatorial Logic unchanged logic changed logic unchanged logic

30 Minimal ECO (3) Structural Analysis

31 Minimal ECO (4) Module After Structural Analysis equal cells not equal cells not checked cells

32 Minimal ECO (5) Exchange of Faulty Cells old module new module

33 Minimal ECO (6) Some Examples (1) Example 1: VHDL-Change: from gate_mask <= gate_and(jump_addr,caplen_mask); to: gate_mask <= gate_and(jump_addr and NOT (capturelength_in = X"03"),caplen_mask); Number of cells in old design 3029 Number of cells in new design 3048 Number of cells, which are not equal 84 (2,7%) Number of cells, which are not checked 123 (4,0%) Percent of cells to exchange 6,7%

34 Minimal ECO (7)

35 Minimal ECO (8) Conclusions Structural Analysis at the current state is not robust enough The approach functions for lot of netlists and is worth to be researched further Methods from Module ECO should be applied for using of Minimal ECO in on PD-processed netlists

36 Last but not Least Thanks to Patrick Haspel and Prof. Brüning for great support during creating of this thesis

37 Further Questions?

IL2225 Physical Design

IL2225 Physical Design IL2225 Physical Design Nasim Farahini farahini@kth.se Outline Physical Implementation Styles ASIC physical design Flow Floor and Power planning Placement Clock Tree Synthesis Routing Timing Analysis Verification

More information

Engineering Change Order (ECO) Support in Programmable Logic Design

Engineering Change Order (ECO) Support in Programmable Logic Design White Paper Engineering Change Order (ECO) Support in Programmable Logic Design A major benefit of programmable logic is that it accommodates changes to the system specification late in the design cycle.

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler DAC 2008 Philip Watson Philip Watson Implementation Environment Program Manager ARM Ltd Background - Who Are We? Processor

More information

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

Digital Design Verification

Digital Design Verification Digital Design Verification Course Instructor: Debdeep Mukhopadhyay Dept of Computer Sc. and Engg. Indian Institute of Technology Madras, Even Semester Course No: CS 676 1 Verification??? What is meant

More information

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Clifford Wolf, Johann Glaser, Florian Schupfer, Jan Haase, Christoph Grimm Computer Technology /99 Overview Ultra-Low-Power

More information

Digital IC Design Flow

Digital IC Design Flow Collège Militaire Royal du Canada (Cadence University Alliance Program Member) Department of Electrical and Computer Engineering Départment de Génie Electrique et Informatique RMC Microelectronics Lab

More information

Case Study: Improving FPGA Design Speed with Floorplanning

Case Study: Improving FPGA Design Speed with Floorplanning Case Study: Improving FPGA Design Speed with Floorplanning - An introduction to Xilinx PlanAhead 10.1 by Consultant Kent Salomonsen (kent.salomonsen@teknologisk.dk) Picture this: the RTL is simulating

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

VHDL-Testbench as Executable Specification

VHDL-Testbench as Executable Specification VHDL- as Executable Specification Michael Pichler Zentrum für Mikroelektronik Aargau Fachhochschule Aargau, Steinackerstrasse 5, CH-5210 Windisch Web: www.zma.ch - E-mail: m.pichler@zma.ch Seite 1 Overview

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

SDLC Controller. Documentation. Design File Formats. Verification

SDLC Controller. Documentation. Design File Formats. Verification January 15, 2004 Product Specification 11 Stonewall Court Woodcliff Lake, NJ 07677 USA Phone: +1-201-391-8300 Fax: +1-201-391-8694 E-mail: info@cast-inc.com URL: www.cast-inc.com Features AllianceCORE

More information

System-on-Chip Design with Virtual Components

System-on-Chip Design with Virtual Components FEATURE ARTICLE Thomas Anderson System-on-Chip Design with Virtual Components Here in the Recycling Age, designing for reuse may sound like a great idea. But with increasing requirements and chip sizes,

More information

Lattice Diamond User Guide

Lattice Diamond User Guide Lattice Diamond User Guide Lattice Semiconductor Corporation 5555 NE Moore Court Hillsboro, OR 97124 (503) 268-8000 Diamond 1.3 June 2011 Copyright Copyright 2011 Lattice Semiconductor Corporation. This

More information

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization TingTing Hwang Tsing Hua University, Hsin-Chu 1 Outline Introduction Engineering Change Order (ECO) Voltage drop (IR-DROP) New design

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

Place & Route Tutorial #1

Place & Route Tutorial #1 Place & Route Tutorial #1 In this tutorial you will use Cadence Encounter to place, route, and analyze the timing and wire-length of two simple designs. This tutorial assumes that you have worked through

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

HDL Simulation Framework

HDL Simulation Framework PPC-System.mhs CoreGen Dateien.xco HDL-Design.vhd /.v SimGen HDL Wrapper Sim-Modelle.vhd /.v Platgen Coregen XST HDL Simulation Framework RAM Map Netzliste Netzliste Netzliste UNISIM NetGen vcom / vlog.bmm.ngc.ngc.ngc

More information

Rapid System Prototyping with FPGAs

Rapid System Prototyping with FPGAs Rapid System Prototyping with FPGAs By R.C. Coferand Benjamin F. Harding AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO Newnes is an imprint of

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

A Utility for Leakage Power Recovery within PrimeTime 1 SI

A Utility for Leakage Power Recovery within PrimeTime 1 SI within PrimeTime 1 SI Bruce Zahn LSI Corporation Bruce.Zahn@lsi.com ABSTRACT This paper describes a utility which is run within the PrimeTime SI signoff environment that recovers leakage power and achieves

More information

Using Vivado Design Suite with Version Control Systems Author: Jim Wu

Using Vivado Design Suite with Version Control Systems Author: Jim Wu Application Note: Vivado Design Suite XAPP1165 (v1.0) August 5, 2013 Using Vivado Design Suite with Version Control Systems Author: Jim Wu Summary This application note provides recommendations for using

More information

ISE In-Depth Tutorial 10.1

ISE In-Depth Tutorial 10.1 ISE In-Depth Tutorial 10.1 R Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of designs to operate on, or interface with Xilinx

More information

System on Chip Design. Michael Nydegger

System on Chip Design. Michael Nydegger Short Questions, 26. February 2015 What is meant by the term n-well process? What does this mean for the n-type MOSFETs in your design? What is the meaning of the threshold voltage (practically)? What

More information

Route Power 10 Connect Powerpin 10.1 Route Special Route 10.2 Net(s): VSS VDD

Route Power 10 Connect Powerpin 10.1 Route Special Route 10.2 Net(s): VSS VDD SOCE Lab (2/2): Clock Tree Synthesis and Routing Lab materials are available at ~cvsd/cur/soce/powerplan.tar.gz Please untar the file in the folder SOCE_Lab before lab 1 Open SOC Encounter 1.1 % source

More information

IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR

IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR International Journal of Engineering & Science Research IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR ABSTRACT Pathik Gandhi* 1, Milan Dalwadi

More information

Verification & Design Techniques Used in a Graduate Level VHDL Course

Verification & Design Techniques Used in a Graduate Level VHDL Course Verification & Design Techniques Used in a Graduate Level VHDL Course Prof. Swati Agrawal, BE, MS (SUNY, Buffalo, NY USA) 1 Associate Professor, Department of Electronics & Telecommunication, Bhilai Institute

More information

A Methodology and the Tool for Testing SpaceWire Routing Switches Session: SpaceWire test and verification

A Methodology and the Tool for Testing SpaceWire Routing Switches Session: SpaceWire test and verification A Methodology and the Tool for Testing SpaceWire Routing Switches Session: SpaceWire test and verification Elena Suvorova Saint-Petersburg University of Aerospace Instrumentation. 67, B. Morskaya, Saint-

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton Dept. of Electrical and Computer Engineering University of British Columbia bradq@ece.ubc.ca

More information

Use of Reprogrammable FPGA on EUCLID mission

Use of Reprogrammable FPGA on EUCLID mission 19/05/2016 Workshop su Applicazioni FPGA in ambito Astrofisico Raoul Grimoldi Use of Reprogrammable FPGA on EUCLID mission Euclid mission overview EUCLID is a cosmology mission part of Cosmic Vision 2015-2025

More information

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division Introduction to Programmable Logic Devices John Coughlan RAL Technology Department Detector & Electronics Division PPD Lectures Programmable Logic is Key Underlying Technology. First-Level and High-Level

More information

Chapter 13: Verification

Chapter 13: Verification Chapter 13: Verification Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008-2010,

More information

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut.

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut. System-on on-chip Design Flow Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems jouni.tomberg@tut.fi 26.03.2003 Jouni Tomberg / TUT 1 SoC - How and with whom?

More information

VLSI Design Verification and Testing

VLSI Design Verification and Testing VLSI Design Verification and Testing Instructor Chintan Patel (Contact using email: cpatel2@cs.umbc.edu). Text Michael L. Bushnell and Vishwani D. Agrawal, Essentials of Electronic Testing, for Digital,

More information

Development System Reference Guide 10.1

Development System Reference Guide 10.1 Development System Reference Guide 10.1 R Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate

More information

A Platform for Visualizing Digital Circuit Synthesis with VHDL

A Platform for Visualizing Digital Circuit Synthesis with VHDL A Platform for Visualizing Digital Circuit Synthesis with VHDL Abdulhadi Shoufan shoufan@iss.tudarmstadt.de Zheng Lu zheng@iss.tudarmstadt.de Technische Universität Darmstadt Dept. of Computer Science

More information

Space product assurance

Space product assurance ECSS-Q-ST-60-02C Space product assurance ASIC and FPGA development ECSS Secretariat ESA-ESTEC Requirements & Standards Division Noordwijk, The Netherlands Foreword This Standard is one of the series of

More information

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition A Tutorial Approach James O. Hamblen Georgia Institute of Technology Michael D. Furman Georgia Institute of Technology KLUWER ACADEMIC PUBLISHERS Boston

More information

Hunting Asynchronous CDC Violations in the Wild

Hunting Asynchronous CDC Violations in the Wild Hunting Asynchronous Violations in the Wild Chris Kwok Principal Engineer May 4, 2015 is the #2 Verification Problem Why is a Big Problem: 10 or More Clock Domains are Common Even FPGA Users Are Suffering

More information

Chapter 2 Clocks and Resets

Chapter 2 Clocks and Resets Chapter 2 Clocks and Resets 2.1 Introduction The cost of designing ASICs is increasing every year. In addition to the non-recurring engineering (NRE) and mask costs, development costs are increasing due

More information

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation Testing & Verification of Digital Circuits ECE/CS 5745/6745 Hardware Verification using Symbolic Computation Instructor: Priyank Kalla (kalla@ece.utah.edu) 3 Credits Mon, Wed, 1:25-2:45pm, WEB L105 Office

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

RTL Low Power Techniques for System-On-Chip Designs

RTL Low Power Techniques for System-On-Chip Designs RTL Low Power Techniques for System-On-Chip Designs Mike Gladden Motorola, Inc. Austin, TX rwdb80@email.sps.mot.com Indraneel Das Synopsys, Inc. Austin, TX ineel@synopsys.com ABSTRACT Low power design

More information

TABLE OF CONTENTS. xiii List of Tables. xviii List of Design-for-Test Rules. xix Preface to the First Edition. xxi Preface to the Second Edition

TABLE OF CONTENTS. xiii List of Tables. xviii List of Design-for-Test Rules. xix Preface to the First Edition. xxi Preface to the Second Edition TABLE OF CONTENTS List of Figures xiii List of Tables xviii List of Design-for-Test Rules xix Preface to the First Edition xxi Preface to the Second Edition xxiii Acknowledgement xxv 1 Boundary-Scan Basics

More information

Lecture 7: Clocking of VLSI Systems

Lecture 7: Clocking of VLSI Systems Lecture 7: Clocking of VLSI Systems MAH, AEN EE271 Lecture 7 1 Overview Reading Wolf 5.3 Two-Phase Clocking (good description) W&E 5.5.1, 5.5.2, 5.5.3, 5.5.4, 5.5.9, 5.5.10 - Clocking Note: The analysis

More information

EXPERIMENT 8. Flip-Flops and Sequential Circuits

EXPERIMENT 8. Flip-Flops and Sequential Circuits EXPERIMENT 8. Flip-Flops and Sequential Circuits I. Introduction I.a. Objectives The objective of this experiment is to become familiar with the basic operational principles of flip-flops and counters.

More information

10 Gigabit Ethernet MAC Core for Altera CPLDs. 1 Introduction. Product Brief Version 1.4 - February 2002

10 Gigabit Ethernet MAC Core for Altera CPLDs. 1 Introduction. Product Brief Version 1.4 - February 2002 1 Introduction Initially, network managers use 10 Gigabit Ethernet to provide high-speed, local backbone interconnection between large-capacity switches. 10 Gigabit Ethernet enables Internet Service Providers

More information

DIGITAL DESIGN FLOW OPTIONS

DIGITAL DESIGN FLOW OPTIONS DIGITAL DESIGN FLOW OPTIONS A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science in the Graduate School of The Ohio State University By Sagar Vidya Reddy, B.E.

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

Introduction to Functional Verification. Niels Burkhardt

Introduction to Functional Verification. Niels Burkhardt Introduction to Functional Verification Overview Verification issues Verification technologies Verification approaches Universal Verification Methodology Conclusion Functional Verification issues Hardware

More information

Understanding DO-254 Compliance for the Verification of Airborne Digital Hardware

Understanding DO-254 Compliance for the Verification of Airborne Digital Hardware White Paper Understanding DO-254 Compliance for the of Airborne Digital Hardware October 2009 Authors Dr. Paul Marriott XtremeEDA Corporation Anthony D. Stone Synopsys, Inc Abstract This whitepaper is

More information

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic Aims and Objectives E 3.05 Digital System Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk How to go

More information

PCIe Core Output Products Generation (Generate Example Design)

PCIe Core Output Products Generation (Generate Example Design) Xilinx Answer 53786 7-Series Integrated Block for PCI Express in Vivado Important Note: This downloadable PDF of an Answer Record is provided to enhance its usability and readability. It is important to

More information

System Modelingg Models of Computation and their Applications Axel Jantsch Laboratory for Electronics and Computer Systems (LECS) Royal Institute of Technology, Stockholm, Sweden February 4, 2005 System

More information

LatticeECP3 High-Speed I/O Interface

LatticeECP3 High-Speed I/O Interface April 2013 Introduction Technical Note TN1180 LatticeECP3 devices support high-speed I/O interfaces, including Double Data Rate (DDR) and Single Data Rate (SDR) interfaces, using the logic built into the

More information

Asynchronous & Synchronous Reset Design Techniques - Part Deux

Asynchronous & Synchronous Reset Design Techniques - Part Deux Clifford E. Cummings Don Mills Steve Golson Sunburst Design, Inc. LCDM Engineering Trilobyte Systems cliffc@sunburst-design.com mills@lcdm-eng.com sgolson@trilobyte.com ABSTRACT This paper will investigate

More information

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems Harris Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH

More information

Using Xilinx ISE for VHDL Based Design

Using Xilinx ISE for VHDL Based Design ECE 561 Project 4-1 - Using Xilinx ISE for VHDL Based Design In this project you will learn to create a design module from VHDL code. With Xilinx ISE, you can easily create modules from VHDL code using

More information

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes of stuck-at

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

Lab 3 Layout Using Virtuoso Layout XL (VXL)

Lab 3 Layout Using Virtuoso Layout XL (VXL) Lab 3 Layout Using Virtuoso Layout XL (VXL) This Lab will go over: 1. Creating layout with Virtuoso layout XL (VXL). 2. Transistor Chaining. 3. Creating Standard cell. 4. Manual Routing 5. Providing Substrate

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical delivers superior quality

More information

A Verilog HDL Test Bench Primer Application Note

A Verilog HDL Test Bench Primer Application Note A Verilog HDL Test Bench Primer Application Note Table of Contents Introduction...1 Overview...1 The Device Under Test (D.U.T.)...1 The Test Bench...1 Instantiations...2 Figure 1- DUT Instantiation...2

More information

Latch Timing Parameters. Flip-flop Timing Parameters. Typical Clock System. Clocking Overhead

Latch Timing Parameters. Flip-flop Timing Parameters. Typical Clock System. Clocking Overhead Clock - key to synchronous systems Topic 7 Clocking Strategies in VLSI Systems Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Clocks help the design of FSM where

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

AES1. Ultra-Compact Advanced Encryption Standard Core. General Description. Base Core Features. Symbol. Applications

AES1. Ultra-Compact Advanced Encryption Standard Core. General Description. Base Core Features. Symbol. Applications General Description The AES core implements Rijndael encoding and decoding in compliance with the NIST Advanced Encryption Standard. Basic core is very small (start at 800 Actel tiles). Enhanced versions

More information

Rapid Software Development with OpenAccess. Dean Marvin Exec Director, Product Development

Rapid Software Development with OpenAccess. Dean Marvin Exec Director, Product Development Rapid Software Development with OpenAccess Dean Marvin Exec Director, Product Development Presentation Overview Conditions for rapid OA development Discussion of development styles C++ object oriented

More information

Chapter 2 Ensuring RTL Intent

Chapter 2 Ensuring RTL Intent Chapter 2 Ensuring RTL Intent A user starts the design of his block, by describing the functionality of the block in the form of RTL. The RTL code is then synthesized to realize the gate level connectivity

More information

230667 - SCPD - System on Chip Physical Design

230667 - SCPD - System on Chip Physical Design Coordinating unit: Teaching unit: Academic year: Degree: ECTS credits: 2015 230 - ETSETB - Barcelona School of Telecommunications Engineering 710 - EEL - Department of Electronic Engineering DEGREE IN

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Quartus II Introduction for VHDL Users

Quartus II Introduction for VHDL Users Quartus II Introduction for VHDL Users This tutorial presents an introduction to the Quartus II software. It gives a general overview of a typical CAD flow for designing circuits that are implemented by

More information

E158 Intro to CMOS VLSI Design. Alarm Clock

E158 Intro to CMOS VLSI Design. Alarm Clock E158 Intro to CMOS VLSI Design Alarm Clock Sarah Yi & Samuel (Tae) Lee 4/19/2010 Introduction The Alarm Clock chip includes the basic functions of an alarm clock such as a running clock time and alarm

More information

Prototyping ARM Cortex -A Processors using FPGA platforms

Prototyping ARM Cortex -A Processors using FPGA platforms Prototyping ARM Cortex -A Processors using FPGA platforms Brian Sibilsky and Fredrik Brosser April 2016 Page 1 of 17 Contents Introduction... 3 Gating... 4 RAM Implementation... 7 esign Partitioning...

More information

VHDL GUIDELINES FOR SYNTHESIS

VHDL GUIDELINES FOR SYNTHESIS VHDL GUIDELINES FOR SYNTHESIS Claudio Talarico For internal use only 1/19 BASICS VHDL VHDL (Very high speed integrated circuit Hardware Description Language) is a hardware description language that allows

More information

TIP-VBY1HS Data Sheet

TIP-VBY1HS Data Sheet Preliminary DATA SHEET Preliminary TIP-VBY1HS Data Sheet V-by-One HS Standard IP for Xilinx FPGA Rev.1.00 Tokyo Electron Device Ltd. Rev1.00 1 Revision History The following table shows the revision history

More information

2) What is the structure of an organization? Explain how IT support at different organizational levels.

2) What is the structure of an organization? Explain how IT support at different organizational levels. (PGDIT 01) Paper - I : BASICS OF INFORMATION TECHNOLOGY 1) What is an information technology? Why you need to know about IT. 2) What is the structure of an organization? Explain how IT support at different

More information

ADS9850 Signal Generator Module

ADS9850 Signal Generator Module 1. Introduction ADS9850 Signal Generator Module This module described here is based on ADS9850, a CMOS, 125MHz, and Complete DDS Synthesizer. The AD9850 is a highly integrated device that uses advanced

More information

Formal Software Testing. Terri Grenda, CSTE IV&V Testing Solutions, LLC www.ivvts.com

Formal Software Testing. Terri Grenda, CSTE IV&V Testing Solutions, LLC www.ivvts.com Formal Software Testing Terri Grenda, CSTE IV&V Testing Solutions, LLC www.ivvts.com Scope of Testing Find defects early Remove defects prior to production Identify Risks Unbiased opinion When Should Testing

More information

VHDL Test Bench Tutorial

VHDL Test Bench Tutorial University of Pennsylvania Department of Electrical and Systems Engineering ESE171 - Digital Design Laboratory VHDL Test Bench Tutorial Purpose The goal of this tutorial is to demonstrate how to automate

More information

Cloud Attached Storage

Cloud Attached Storage CTERA Appliance Disaster Recovery Guide Cloud Attached Storage June 2013 Version 3.2 1 Introduction This document is intended for CTERA Portal administrators. It describes how to replace CTERA appliances

More information

Introduction to the Quartus II Software. Version 10.0

Introduction to the Quartus II Software. Version 10.0 Introduction to the Quartus II Software Version 10.0 Introduction to the Quartus II Software Altera Corporation 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Introduction to the

More information

High-Level Synthesis for FPGA Designs

High-Level Synthesis for FPGA Designs High-Level Synthesis for FPGA Designs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer consultant Cereslaan 10b 5384 VT Heesch

More information

CLOCK DOMAIN CROSSING CLOSING THE LOOP ON CLOCK DOMAIN FUNCTIONAL IMPLEMENTATION PROBLEMS

CLOCK DOMAIN CROSSING CLOSING THE LOOP ON CLOCK DOMAIN FUNCTIONAL IMPLEMENTATION PROBLEMS TECHNICAL PAPER CLOCK DOMAIN CROSSING CLOSING THE LOOP ON CLOCK DOMAIN FUNCTIONAL IMPLEMENTATION PROBLEMS TABLE OF CONTENTS 1 Overview...........................................................................1

More information

A Mixed-Signal System-on-Chip Audio Decoder Design for Education

A Mixed-Signal System-on-Chip Audio Decoder Design for Education A Mixed-Signal System-on-Chip Audio Decoder Design for Education R. Koenig, A. Thomas, M. Kuehnle, J. Becker, E.Crocoll, M. Siegel @itiv.uni-karlsruhe.de @ims.uni-karlsruhe.de

More information

FF-Bond: Multi-bit Flip-flop Bonding at Placement CHANG-CHENG TSAI YIYU SHI GUOJIE LUO IRIS HUI-RU JIANG. IRIS Lab NCTU MST PKU

FF-Bond: Multi-bit Flip-flop Bonding at Placement CHANG-CHENG TSAI YIYU SHI GUOJIE LUO IRIS HUI-RU JIANG. IRIS Lab NCTU MST PKU FF-Bond: Multi-bit Flip-flop Bonding at Placement CHANG-CHENG TSAI YIYU SHI GUOJIE LUO IRIS HUI-RU JIANG IRIS Lab NCTU MST PKU ISPD-13 Outline 2 Introduction Preliminaries Problem formulation Algorithm

More information

MAX+PLUS II. Introduction. Programmable Logic Development System & Software

MAX+PLUS II. Introduction. Programmable Logic Development System & Software MAX+PLUS II Programmable Logic Development System & Software January 1998, ver. 8 Data Sheet Introduction Ideally, a programmable logic design environment satisfies a large variety of design requirements:

More information

Introduction. Jim Duckworth ECE Department, WPI. VHDL Short Course - Module 1

Introduction. Jim Duckworth ECE Department, WPI. VHDL Short Course - Module 1 VHDL Short Course Module 1 Introduction Jim Duckworth ECE Department, WPI Jim Duckworth, WPI 1 Topics Background to VHDL Introduction to language Programmable Logic Devices CPLDs and FPGAs FPGA architecture

More information

Getting the Most Out of Synthesis

Getting the Most Out of Synthesis Outline Getting the Most Out of Synthesis Dr. Paul D. Franzon 1. Timing Optimization Approaches 2. Area Optimization Approaches 3. Design Partitioning References 1. Smith and Franzon, Chapter 11 2. D.Smith,

More information

Isolation Design Flow for Xilinx 7 Series FPGAs or Zynq-7000 AP SoCs (ISE Tools)

Isolation Design Flow for Xilinx 7 Series FPGAs or Zynq-7000 AP SoCs (ISE Tools) XAPP1086 (v1.3.1) February 5, 2015 Application Note: 7 Series FPGAs and Zynq-7000 AP SoC Isolation Design Flow for Xilinx 7 Series FPGAs or Zynq-7000 AP SoCs (ISE Tools) Author: Ed Hallett Summary This

More information

Recovery System C H A P T E R16. Practice Exercises

Recovery System C H A P T E R16. Practice Exercises C H A P T E R16 Recovery System Practice Exercises 16.1 Explain why log records for transactions on the undo-list must be processed in reverse order, whereas redo is performed in a forward direction. Answer:

More information

DESIGN AND VERIFICATION OF LSR OF THE MPLS NETWORK USING VHDL

DESIGN AND VERIFICATION OF LSR OF THE MPLS NETWORK USING VHDL IJVD: 3(1), 2012, pp. 15-20 DESIGN AND VERIFICATION OF LSR OF THE MPLS NETWORK USING VHDL Suvarna A. Jadhav 1 and U.L. Bombale 2 1,2 Department of Technology Shivaji university, Kolhapur, 1 E-mail: suvarna_jadhav@rediffmail.com

More information

Verifying Business Processes Extracted from E-Commerce Systems Using Dynamic Analysis

Verifying Business Processes Extracted from E-Commerce Systems Using Dynamic Analysis Verifying Business Processes Extracted from E-Commerce Systems Using Dynamic Analysis Derek Foo 1, Jin Guo 2 and Ying Zou 1 Department of Electrical and Computer Engineering 1 School of Computing 2 Queen

More information

FPGA Implementation of RSA Encryption Engine with Flexible Key Size

FPGA Implementation of RSA Encryption Engine with Flexible Key Size FPGA Implementation of RSA Encryption Engine with Flexible Key Size Muhammad I. Ibrahimy, Mamun B.I. Reaz, Khandaker Asaduzzaman and Sazzad Hussain Abstract An approach to develop the FPGA of a flexible

More information

Introduction ABOUT THIS CHAPTER CHAPTER

Introduction ABOUT THIS CHAPTER CHAPTER CHAPTER Introduction 1 Charles E. Stroud Auburn University, Auburn, Alabama Laung-Terng (L.-T.) Wang SynTest Technologies, Inc., Sunnyvale, California Yao-Wen Chang National Taiwan University, Taipei,

More information

Combinational Controllability Controllability Formulas (Cont.)

Combinational Controllability Controllability Formulas (Cont.) Outline Digital Testing: Testability Measures The case for DFT Testability Measures Controllability and observability SCOA measures Combinational circuits Sequential circuits Adhoc techniques Easily testable

More information

ECE 3401 Lecture 7. Concurrent Statements & Sequential Statements (Process)

ECE 3401 Lecture 7. Concurrent Statements & Sequential Statements (Process) ECE 3401 Lecture 7 Concurrent Statements & Sequential Statements (Process) Concurrent Statements VHDL provides four different types of concurrent statements namely: Signal Assignment Statement Simple Assignment

More information