Eingebettete Systeme. 4: Entwurfsmethodik, HW/SW Co-Design. Technische Informatik T T T

Size: px
Start display at page:

Download "Eingebettete Systeme. 4: Entwurfsmethodik, HW/SW Co-Design. Technische Informatik T T T"

Transcription

1 Eingebettete Systeme 4: Entwurfsmethodik, HW/SW Co-Design echnische Informatik

2 System Level Design: ools and Flow Refinement of HW/SW Systems ools for HW/SW Co-Design C-based design of HW/SW Systems echnische Informatik Eingebettete Systeme F 2015, Kap. 4 2

3 V-Diagram Architecture Exploration Problems: 1.) Specification often unclear/informal 2.) ime from specification to validation too long! echnische Informatik Eingebettete Systeme F 2015, Kap. 4 3

4 Concurrent engineering of HW and SW Specification HW Design Prototype SW Develop. System Integration echnische Informatik Eingebettete Systeme F 2015, Kap. 4 4

5 Executable Specification and Virtual Prototyping Executable specification Architecture exploration (Development of HW+SW) System integration, Integration validation Virtual prototyping instead of HW prototyping echnische Informatik Eingebettete Systeme F 2015, Kap. 4 5

6 Executable specification Specification in a form that can be simulated High-level simulation (Matlab, C/C++, SystemC, ) Purpose Check correct understanding of specification Get insight into system behavior Starting point of design Comparision with design echnische Informatik Eingebettete Systeme F 2015, Kap. 4 6

7 Instruction Set Simulator/Virtual Prototype Instruction Set Simulator (ISS) Simulator that executes SW on a simulated processor Required for debugging/analysis of SW, if no processor HW is available 1. Binary compatible important, if OS (Linux, ) contributes to behavior 2. C-Code compatible faster, because it is compiled to host processor Example: VAS ( Virtual Prototype Software model of designed HW (more general than ISS, includes additional HW) Developed by HW developers for SW development Should be available before SW development starts Should be easy-to-use Shared library, IDE for SW developers echnische Informatik Eingebettete Systeme F 2015, Kap. 4 7

8 Parallel developement/debugging of HW and SW Executable Specification ( C / C++ / UML ) Development of Hardware ISS, Virtual Prototype Development of Software Redesign Verification System Integration Redesign ASIC Design or FPGA Synthesis echnische Informatik Eingebettete Systeme F 2015, Kap. 4 8

9 Issues of architecture exploration Evaluation of design decisions Board / ASIC Adaptive/Fixed partioning HW/SW partitioning ype of processor Co-Processor Bit-widths Sample frequencies Buffer sizes Specification of control signals, bus protocols, interfaces echnische Informatik Eingebettete Systeme F 2015, Kap. 4 9

10 Step-wise refinement of HW and SW Executable Specification ( C / C++ / UML ) Programmer s view (for basic SW dev.) Development of ISS, Virtual Prototype iming & Performance (for architecture eval.) Hardware Pin accurate (for integration verification) Development of Software Redesign Integration Verification ASIC Design o. FPGA Synthese Redesign echnische Informatik Eingebettete Systeme F 2015, Kap. 4 10

11 Concurrent engineering of HW and SW (2) Specification HW Design Prototype SW Develop. System Integration With refinement, it can be done in parallel! Specification Virtual Prototype HW Design SW Develop. System Integration echnische Informatik Eingebettete Systeme F 2015, Kap. 4 11

12 System Level Design: ools and Flow 1. Refinement of HW/SW Systems 2. C-based design of HW/SW Systems 3. Some commercial tools for HW/SW Co-Design echnische Informatik Eingebettete Systeme F 2015, Kap. 4 12

13 Why C-based design of embedded systems? Software and methods: C/C++, UML, Hardware: VHDL, Verilog, SPICE, System design Software Evaluation, Analysis requires simulator coupling or translation Hardware Implementation C, C++, UML, VHDL, Verilog echnische Informatik Eingebettete Systeme F 2015, Kap. 4 13

14 Why C-based design of embedded systems? C-Based modelling is a pragmatic approach System design Software C, C++, UML, + Modelling of time, concurrency, HW-Signal types Hardware Implementation C, C++, UML, VHDL, Verilog echnische Informatik Eingebettete Systeme F 2015, Kap. 4 14

15 C-based approaches many approaches in research and industry Well-known C-extensions are: SpecC (Gajski et al. ; UC Irvine) Pre-compiler generates C code and Parameterizable architecture model from SpecC Good for design space exploration SystemC OSCI Standard = Open SystemC Initiative, now ACCELLERA Fujitsu, Motorola, Intel, Infineon, S, AMD, NXP, Synopsys, Cadence, Proof-of-concept prototype free: echnische Informatik Eingebettete Systeme F 2015, Kap. 4 15

16 Eingebettete echnische Informatik Systeme F 2015, Kap. 4 16

17 Use of SystemC SystemC Model SystemC library C ++ Compiler and Linker executable code (=Simulator) C ++ Debugger Waveform viewer echnische Informatik Eingebettete Systeme F 2015, Kap. 4 17

18 System Level Design: ools and Flow 1. Refinement of HW/SW Systems 2. C-based design of HW/SW Systems 3. Some commercial tools for HW/SW Co-Design echnische Informatik Eingebettete Systeme F 2015, Kap. 4 18

19 ool Requirements Instruction Set Simulator for CPU available? Important factor, often exclusion argument. Correctness of Instruction Set Simulators? Important for fault coverage. Compatible with SW engineering tools? Support for SW Debugger, ROS,... Performance of simulation? Important for short design cycles. Instruction Set Simulator Performance? Not that important, normally fast enough. echnische Informatik Eingebettete Systeme F 2015, Kap. 4 19

20 ools from academia VULCAN: Stanford University (Hardware C) COSYMA: University of Braunschweig (Cx) POLIS: University of California Berkeley (C++, SystemC) POLEMY: University of California Berkeley (C++, Java) echnische Informatik Eingebettete Systeme F 2015, Kap. 4 20

21 Commercial tools Mentor Seamless supports a plethora of CPU models integrated with ModelSim Synopsys CoCentric System Studio SystemC development platform CoWare SPW, CoWare Lisatek SPW: Signal Processing Workstation echnische Informatik Eingebettete Systeme F 2015, Kap. 4 21

22 CoWare SPW HW/SW Co-Design IDE Fully integrated, from spec to silicon! On each abstraction level all blocks have multiple views of its definition. Complete simulation environment. A large range of stimulus generators for test bench development. Parameter extraction from transistor level for generating high level models of design units. Interface to LISAek, a processor development tool. Source: echnische Informatik Eingebettete Systeme F 2015, Kap. 4 22

23 Source: echnische Informatik Eingebettete Systeme F 2015, Kap. 4 23

24 Source: echnische Informatik Eingebettete Systeme F 2015, Kap. 4 24

25 CoWare LISAek Processor Development ool IDE for developing processor units. Generates the complete RL description of the processor with its toolset like compiler, linker, and the instruction set simulator! Only needs an abstract processor description, and the user application. Source: echnische Informatik Eingebettete Systeme F 2015, Kap. 4 25

26 Summary he aim of a good HW/SW Co-design flow is to enable concurrent development of HW and SW Virtual prototype, Instruction set simulator Refinement C-Based design enables seamless HW/SW design Commercial tools solve some specific tasks, but no really seamless flow available echnische Informatik Eingebettete Systeme F 2015, Kap. 4 26

27 Verification Verification What is it, how it is done? HW/SW Co-Verification What is this? ools For HW/SW Co-Verification Verification Challenges Future problems with verification Formal Verification Binary Decision Diagram echnische Informatik Eingebettete Systeme F 2015, Kap. 4 27

28 Verification I What is Verification? Used to ensure the correctness of the design against its intended behavior (the specification) against its implementation (at different abstraction levels) against alternative design (at the same abstraction level) high abstraction level low layout structural functional behavioral Architecture Design Implementation A?? 7.) Mask Level 6.) Place & Route 5.) Gate Level Netlist 4.) Silicon Compilation 3.) RL Description 2.) Architecture Extraction 1.) System Description echnology Idea??? Design Implementation B? 7.) Mask Level 6.) Place & Route 5.) Gate Level Netlist 4.) Silicon Compilation 3.) RL Description 2.) Architecture Extraction 1.) System Description echnische Informatik Eingebettete Systeme F 2015, Kap. 4 28

29 Verification II In contrast to the prevailing view by much of the semiconductor industry, verification has become the dominant cost in the design process! Verification engineers outnumber designers by a factor two to three for most complex designs. Design conception and implementation are becoming mere preludes to the main activity of verification! Verification needs raises exponentially with the system complexity (which itself raises exponentially), resulting in a verification crises. Automated verification methods are becoming much more important. echnische Informatik Eingebettete Systeme F 2015, Kap. 4 29

30 Verification III Examples of undetected errors Pentium bug multiplier table not fully verified, cut and paste failure of the engineer Ariane V rocket explosion exception occurred during conversion of a 64-bit floating point number to a 16-bit integer since the magnitude of the value changed due to design re-use Mars Climate Orbiter lost incorrect usage of English units instead of SI units resulted in orbiter crash echnische Informatik Eingebettete Systeme F 2015, Kap. 4 30

31 Verification IV Verification Methods Simulation performed on a model Formal Verification heorem proving Equivalence checking Model checking Prototyping Emulation esting performed on the actual product Manufacturing test echnische Informatik Eingebettete Systeme F 2015, Kap. 4 31

32 HW/SW Co-Verification I Event or cycle based simulations are for System on Chip designs too slow need for special HW/SW Co- Verification tools! + Source: Mentor Graphics echnische Informatik Eingebettete Systeme F 2015, Kap. 4 32

33 HW/SW Co-Verification II What is HW/SW Co-Verification? A methodology used for System on a Chip Designs. Allows concurrent verification and debugging of hardware and software. he used tools are familiar to the hardware engineer as well as to the software engineer. Is a technology which increases the simulation performance without scarifying the correctness of the simulation. How is this achieved? By integrating an Instruction Set Simulator (about instructions per second) into the system simulation model. echnische Informatik Eingebettete Systeme F 2015, Kap. 4 33

34 HW/SW Co-Verification III Requirements CPU is replaced with a Bus Interface Model (BIM) which establishes the interface to the Instruction Set Simulator. All internal memories are replaced with models which can be directly contacted by the Instruction Set Simulator. Source: Mentor Graphics echnische Informatik Eingebettete Systeme F 2015, Kap. 4 34

35 HW/SW Co-Verification IV Optimizations Memory accesses are no longer handled by the system simulator. Instead the ISS directly accesses their contents. his reduces the simulation activity faster operation. Source: Mentor Graphics echnische Informatik Eingebettete Systeme F 2015, Kap. 4 35

36 HW/SW Co-Verification V unoptimized ime optimized ime at which optimizations were applied Events & clock cycles reduced Memory access optimized ime at which optimizations were applied Source: Mentor Graphics clock count stays the same but simulation events reduced echnische Informatik Eingebettete Systeme F 2015, Kap. 4 36

37 HW/SW Co-Verification VI Advantages HW/SW integration earlier in design flow. Simulation model acts as virtual hardware. Better communication between hardware and software design teams. Debugging of software starts earlier, hence, more time can be spent developing and debugging the code. Faster design cycles. Software is validated against hardware. echnische Informatik Eingebettete Systeme F 2015, Kap. 4 37

38 HW/SW Co-Verification VII Advantages Embedded software acts as hardware test-bench. Better hardware/software design data integrity. he possibility of hard ASIC or PCB errors is reduced lower design cycles and re-designs. Hardware prototypes avoided: No manufacturing/build defects, improved system observability, controllability. Reduced unobserved parts of system design before tape-out less gray areas lower project risks! echnische Informatik Eingebettete Systeme F 2015, Kap. 4 38

39 Design Schedule Flow with HW/SW co-design und co-verification System Design Hardware Design Prototype Build Hardware Debug Software Design Software Coding System Debug Project Complete Debugging on virtual prototype Earlier project finish echnische Informatik Eingebettete Systeme F 2015, Kap. 4 39

40 ools Mentor Seamless supports a plethora of CPU models integrated with ModelSim CoWare SPW Synopsys CoCentric System Studio SystemC development platform echnische Informatik Eingebettete Systeme F 2015, Kap. 4 40

41 Formal Verification I Simulation is a very crude tool for verification Complex and tedious task, even if really many complex simulations are constructed, they never can explore all possible behaviors. Formal verification needed In contrast to simulation which explores some of possible behaviors, formal verification conducts a exhaustive exploration of all possible behaviors and shows that the design is correct, or if incorrect, presents a counter-example a proof that the design has a failure. echnische Informatik Eingebettete Systeme F 2015, Kap. 4 41

42 Formal Verification II heorem proving Uses axioms, rules to prove system correctness, but there is no guarantee that it will terminate. Is difficult and time consuming. Equivalence checking Checks if two circuits or two different implementations of circuits are equivalent, LVS logic-versus-schematic. Model checking, Assertion Based Verification Automatic technique to prove correctness of systems: digital systems, communication protocols, etc. echnische Informatik Eingebettete Systeme F 2015, Kap. 4 42

43 Binary Decision Diagrams Binary Decision Diagram (BDD) Are a compact data structure for Boolean logic, can represent sets of objects (states) encoded as Boolean functions Reduced ordered BBDs (ROBBD) are a canonical form able to describe Boolean formulas. Equivalent combinational circuits have identical ROBBDs. Construction Remove duplicate terminals Remove duplicate nodes (isomorphic subgraphs) Remove internal nodes with identical children echnische Informatik Eingebettete Systeme F 2015, Kap. 4 43

44 BDD Construction I Construction of a Reduced Ordered BBD a b c f f = a c b c a 1 edge 0 edge b b c c c c Decision ree echnische Informatik Eingebettete Systeme F 2015, Kap. 4 44

45 BBD Construction II 1 edge 0 edge f f = a c b c f a a a b b b b b c c c c c c c Remove duplicate terminals 2. Remove duplicate nodes 3. Remove redundant nodes echnische Informatik Eingebettete Systeme F 2015, Kap. 4 45

46 BDD Application to Verification I Equivalence of combinational circuits Canonicity property of BBDs: if F and G are equivalent, their ROBBDs are identical (for the same ordering of variables) f = a b c a b c a b c g = a c b c a a b b c c echnische Informatik Eingebettete Systeme F 2015, Kap. 4 46

47 BDD Application to Verification II Functional test generation o test for H=1 (0), find a path in the BBD to terminal 1 (0). he path, expressed in function variables, gives a satisfying solution a test vector. b H a a c a b c c 0 1 echnische Informatik Eingebettete Systeme F 2015, Kap. 4 47

48 What Users are Saying System integration time reduced by 2/3. ASIC problems found by software engineers, would have to be solved with a ASIC re-design. he HW/SW interface may be tested from the software point of view. Modifications possible! he complete software could be tested with simulation ROS, drivers, application, interface, A software engineer quoted: All areas which were simulated also worked on the real hardware without changes! echnische Informatik Eingebettete Systeme F 2015, Kap. 4 48

49 Summary We have learned about: what verification is, what HW/SW Coverification is, coming problems with verification complexity, and a short introduction to formal verification. echnische Informatik Eingebettete Systeme F 2015, Kap. 4 49

50 References 1. International echnology Roadmap for Semiconductors (IRS), 2003 Edition, Homepage: requires login 2. Clive Max Maxfield. he Design Warrior s Guide to FPGAs, Elsevier, 2004, ISBN: CoWare s Homepage: 4. Mentor Graphics Homepage: 5. Synopsys Homepage: 6. Cadence Homepage: 7. R.E. Bryant. Graph-based algorithms for Boolean function manipulation. IEEE rans. On Computers, C-35(8), pp , Aug echnische Informatik Eingebettete Systeme F 2015, Kap. 4 50

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Platform-Based Design and the First Generation Dilemma Jiang Xu and Wayne Wolf

Platform-Based Design and the First Generation Dilemma Jiang Xu and Wayne Wolf Platform-Based Design and the First Generation Dilemma Jiang Xu and Wayne Wolf Dept. of ELE, Princeton University Jiangxu, Wolf@ee.Princeton.edu Abstract In this paper, we analyze system-level design methodologies

More information

Design Cycle for Microprocessors

Design Cycle for Microprocessors Cycle for Microprocessors Raúl Martínez Intel Barcelona Research Center Cursos de Verano 2010 UCLM Intel Corporation, 2010 Agenda Introduction plan Architecture Microarchitecture Logic Silicon ramp Types

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut.

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut. System-on on-chip Design Flow Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems jouni.tomberg@tut.fi 26.03.2003 Jouni Tomberg / TUT 1 SoC - How and with whom?

More information

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers.

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Nowadays, System Engineers are placed in the centre of two antagonist flows: microelectronic systems

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Model Checking based Software Verification

Model Checking based Software Verification Model Checking based Software Verification 18.5-2006 Keijo Heljanko Keijo.Heljanko@tkk.fi Department of Computer Science and Engineering Helsinki University of Technology http://www.tcs.tkk.fi/~kepa/ 1/24

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models System Development Models and Methods Dipl.-Inf. Mirko Caspar Version: 10.02.L.r-1.0-100929 Contents HW/SW Codesign Process Design Abstraction and Views Synthesis Control/Data-Flow Models System Synthesis

More information

Product Development Flow Including Model- Based Design and System-Level Functional Verification

Product Development Flow Including Model- Based Design and System-Level Functional Verification Product Development Flow Including Model- Based Design and System-Level Functional Verification 2006 The MathWorks, Inc. Ascension Vizinho-Coutry, avizinho@mathworks.fr Agenda Introduction to Model-Based-Design

More information

Introduction to Functional Verification. Niels Burkhardt

Introduction to Functional Verification. Niels Burkhardt Introduction to Functional Verification Overview Verification issues Verification technologies Verification approaches Universal Verification Methodology Conclusion Functional Verification issues Hardware

More information

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation Testing & Verification of Digital Circuits ECE/CS 5745/6745 Hardware Verification using Symbolic Computation Instructor: Priyank Kalla (kalla@ece.utah.edu) 3 Credits Mon, Wed, 1:25-2:45pm, WEB L105 Office

More information

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Datasheet -CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Overview -CV is an equivalence checker for full custom designs. It enables efficient comparison of a reference design

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

Systems on Chip Design

Systems on Chip Design Systems on Chip Design College: Engineering Department: Electrical First: Course Definition, a Summary: 1 Course Code: EE 19 Units: 3 credit hrs 3 Level: 3 rd 4 Prerequisite: Basic knowledge of microprocessor/microcontroller

More information

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Simulink targeting ASIC/FGPA. Previously Worked as logic

More information

MPSoC Virtual Platforms

MPSoC Virtual Platforms CASTNESS 2007 Workshop MPSoC Virtual Platforms Rainer Leupers Software for Systems on Silicon (SSS) RWTH Aachen University Institute for Integrated Signal Processing Systems Why focus on virtual platforms?

More information

Early Hardware/Software Integration Using SystemC 2.0

Early Hardware/Software Integration Using SystemC 2.0 Early Hardware/Software Integration Using SystemC 2.0 Jon Connell, ARM. Bruce Johnson, Synopsys, Inc. Class 552, ESC San Francisco 2002 Abstract Capabilities added to SystemC 2.0 provide the needed expressiveness

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

Reconfigurable Architecture Requirements for Co-Designed Virtual Machines

Reconfigurable Architecture Requirements for Co-Designed Virtual Machines Reconfigurable Architecture Requirements for Co-Designed Virtual Machines Kenneth B. Kent University of New Brunswick Faculty of Computer Science Fredericton, New Brunswick, Canada ken@unb.ca Micaela Serra

More information

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic Aims and Objectives E 3.05 Digital System Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk How to go

More information

High-Level Synthesis for FPGA Designs

High-Level Synthesis for FPGA Designs High-Level Synthesis for FPGA Designs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer consultant Cereslaan 10b 5384 VT Heesch

More information

A Verilog HDL Test Bench Primer Application Note

A Verilog HDL Test Bench Primer Application Note A Verilog HDL Test Bench Primer Application Note Table of Contents Introduction...1 Overview...1 The Device Under Test (D.U.T.)...1 The Test Bench...1 Instantiations...2 Figure 1- DUT Instantiation...2

More information

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com.

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com. White Paper FPGA Prototyping of System-on-Chip Designs The Need for a Complete Prototyping Platform for Any Design Size, Any Design Stage with Enterprise-Wide Access, Anytime, Anywhere S2C Inc. 1735 Technology

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

design Synopsys and LANcity

design Synopsys and LANcity Synopsys and LANcity LANcity Adopts Design Reuse with DesignWare to Bring Low-Cost, High-Speed Cable TV Modem to Consumer Market What does it take to redesign a commercial product for a highly-competitive

More information

CFD Implementation with In-Socket FPGA Accelerators

CFD Implementation with In-Socket FPGA Accelerators CFD Implementation with In-Socket FPGA Accelerators Ivan Gonzalez UAM Team at DOVRES FuSim-E Programme Symposium: CFD on Future Architectures C 2 A 2 S 2 E DLR Braunschweig 14 th -15 th October 2009 Outline

More information

Digital Systems. Role of the Digital Engineer

Digital Systems. Role of the Digital Engineer Digital Systems Role of the Digital Engineer Digital Design Engineers attempt to clearly define the problem(s) Possibly, break the problem into many smaller problems Engineers then develop a strategy for

More information

FPGA Prototyping Primer

FPGA Prototyping Primer FPGA Prototyping Primer S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com What is FPGA prototyping? FPGA prototyping is the methodology

More information

System-on-Chip Design Verification: Challenges and State-of-the-art

System-on-Chip Design Verification: Challenges and State-of-the-art System-on-Chip Design Verification: Challenges and State-of-the-art Prof. Sofiène Tahar Hardware Verification Group Concordia University Montréal, QC, CANADA MCSOC 12 Aizu-Wakamatsu, Fukushima, Japan September

More information

A Mixed-Signal System-on-Chip Audio Decoder Design for Education

A Mixed-Signal System-on-Chip Audio Decoder Design for Education A Mixed-Signal System-on-Chip Audio Decoder Design for Education R. Koenig, A. Thomas, M. Kuehnle, J. Becker, E.Crocoll, M. Siegel @itiv.uni-karlsruhe.de @ims.uni-karlsruhe.de

More information

Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design

Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design Department of Electrical and Computer Engineering Overview The VLSI Design program is part of two tracks in the department:

More information

Codesign: The World Of Practice

Codesign: The World Of Practice Codesign: The World Of Practice D. Sreenivasa Rao Senior Manager, System Level Integration Group Analog Devices Inc. May 2007 Analog Devices Inc. ADI is focused on high-end signal processing chips and

More information

SoC Curricula at Tallinn Technical University

SoC Curricula at Tallinn Technical University SoC Curricula at Tallinn Technical University Margus Kruus, Kalle Tammemäe, Peeter Ellervee Tallinn Technical University Phone: +372-6202250, Fax: +372-6202246 kruus@cc.ttu.ee nalle@cc.ttu.ee lrv@cc.ttu.ee

More information

Extending the Power of FPGAs. Salil Raje, Xilinx

Extending the Power of FPGAs. Salil Raje, Xilinx Extending the Power of FPGAs Salil Raje, Xilinx Extending the Power of FPGAs The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Development Agenda The Evolution of

More information

Embedded Systems Engineering Certificate Program

Embedded Systems Engineering Certificate Program Engineering Programs Embedded Systems Engineering Certificate Program Accelerate Your Career extension.uci.edu/embedded University of California, Irvine Extension s professional certificate and specialized

More information

Embedded Development Tools

Embedded Development Tools Embedded Development Tools Software Development Tools by ARM ARM tools enable developers to get the best from their ARM technology-based systems. Whether implementing an ARM processor-based SoC, writing

More information

Chapter 13: Verification

Chapter 13: Verification Chapter 13: Verification Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008-2010,

More information

Concurrent Hardware/Software Development Platforms Speed System Integration and Bring-Up

Concurrent Hardware/Software Development Platforms Speed System Integration and Bring-Up Concurrent Hardware/Software Development Platforms Speed System Integration and Bring-Up Author: Ran Avinun, Cadence Design Systems, Inc. Hardware/software development platforms such as virtual prototyping,

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

Tensilica Software Development Toolkit (SDK)

Tensilica Software Development Toolkit (SDK) Tensilica Datasheet Tensilica Software Development Toolkit (SDK) Quickly develop application code Features Cadence Tensilica Xtensa Xplorer Integrated Development Environment (IDE) with full graphical

More information

FSMD and Gezel. Jan Madsen

FSMD and Gezel. Jan Madsen FSMD and Gezel Jan Madsen Informatics and Mathematical Modeling Technical University of Denmark Richard Petersens Plads, Building 321 DK2800 Lyngby, Denmark jan@imm.dtu.dk Processors Pentium IV General-purpose

More information

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH WHITE PAPER METRIC-DRIVEN VERIFICATION ENSURES SOFTWARE DEVELOPMENT QUALITY BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH INTRODUCTION The complexity of electronic systems is rapidly

More information

A Survey: System-on-a-Chip Design and Verification

A Survey: System-on-a-Chip Design and Verification A Survey: System-on-a-Chip Design and Verification Ali Habibi and Sofiène Tahar Electrical & Computer Engineering Department, Concordia University Montreal, Quebec, Canada Email: {habibi, tahar}@ece.concordia.ca

More information

Hardware Virtualization for Pre-Silicon Software Development in Automotive Electronics

Hardware Virtualization for Pre-Silicon Software Development in Automotive Electronics Hardware Virtualization for Pre-Silicon Software Development in Automotive Electronics Frank Schirrmeister, Filip Thoen fschirr@synopsys.com Synopsys, Inc. Market Trends & Challenges Growing electronics

More information

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU ESE566 REPORT3 Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU Nov 19th, 2002 ABSTRACT: In this report, we discuss several recent published papers on design methodologies of core-based

More information

SystemC Tutorial. John Moondanos. Strategic CAD Labs, INTEL Corp. & GSRC Visiting Fellow, UC Berkeley

SystemC Tutorial. John Moondanos. Strategic CAD Labs, INTEL Corp. & GSRC Visiting Fellow, UC Berkeley SystemC Tutorial John Moondanos Strategic CAD Labs, INTEL Corp. & GSRC Visiting Fellow, UC Berkeley SystemC Introduction Why not leverage experience of C/C++ developers for H/W & System Level Design? But

More information

Achieving business benefits through automated software testing. By Dr. Mike Bartley, Founder and CEO, TVS (mike@testandverification.

Achieving business benefits through automated software testing. By Dr. Mike Bartley, Founder and CEO, TVS (mike@testandverification. Achieving business benefits through automated software testing By Dr. Mike Bartley, Founder and CEO, TVS (mike@testandverification.com) 1 Introduction During my experience of test automation I have seen

More information

Design of a High Speed Communications Link Using Field Programmable Gate Arrays

Design of a High Speed Communications Link Using Field Programmable Gate Arrays Customer-Authored Application Note AC103 Design of a High Speed Communications Link Using Field Programmable Gate Arrays Amy Lovelace, Technical Staff Engineer Alcatel Network Systems Introduction A communication

More information

System Level Virtual Prototyping becomes a reality with OVP donation from Imperas.

System Level Virtual Prototyping becomes a reality with OVP donation from Imperas. System Level Virtual Prototyping becomes a reality with OVP donation from Imperas. Brian Bailey EDA Consultant Abstract For many years, Electronic System Level (ESL) design and verification has been on

More information

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition A Tutorial Approach James O. Hamblen Georgia Institute of Technology Michael D. Furman Georgia Institute of Technology KLUWER ACADEMIC PUBLISHERS Boston

More information

Networking Remote-Controlled Moving Image Monitoring System

Networking Remote-Controlled Moving Image Monitoring System Networking Remote-Controlled Moving Image Monitoring System First Prize Networking Remote-Controlled Moving Image Monitoring System Institution: Participants: Instructor: National Chung Hsing University

More information

International Workshop on Field Programmable Logic and Applications, FPL '99

International Workshop on Field Programmable Logic and Applications, FPL '99 International Workshop on Field Programmable Logic and Applications, FPL '99 DRIVE: An Interpretive Simulation and Visualization Environment for Dynamically Reconægurable Systems? Kiran Bondalapati and

More information

Intel CoFluent Methodology for SysML *

Intel CoFluent Methodology for SysML * Intel CoFluent Methodology for SysML * UML* SysML* MARTE* Flow for Intel CoFluent Studio An Intel CoFluent Design White Paper By Thomas Robert and Vincent Perrier www.cofluent.intel.com Acronyms and abbreviations

More information

Verification of Triple Modular Redundancy (TMR) Insertion for Reliable and Trusted Systems

Verification of Triple Modular Redundancy (TMR) Insertion for Reliable and Trusted Systems Verification of Triple Modular Redundancy (TMR) Insertion for Reliable and Trusted Systems Melanie Berg 1, Kenneth LaBel 2 1.AS&D in support of NASA/GSFC Melanie.D.Berg@NASA.gov 2. NASA/GSFC Kenneth.A.LaBel@NASA.gov

More information

High Performance or Cycle Accuracy?

High Performance or Cycle Accuracy? CHIP DESIGN High Performance or Cycle Accuracy? You can have both! Bill Neifert, Carbon Design Systems Rob Kaye, ARM ATC-100 AGENDA Modelling 101 & Programmer s View (PV) Models Cycle Accurate Models Bringing

More information

Method for Multiplier Verication Employing Boolean Equivalence Checking and Arithmetic Bit Level Description

Method for Multiplier Verication Employing Boolean Equivalence Checking and Arithmetic Bit Level Description Method for Multiplier Verication Employing Boolean ing and Arithmetic Bit Level Description U. Krautz 1, M. Wedler 1, W. Kunz 1 & K. Weber 2, C. Jacobi 2, M. Panz 2 1 University of Kaiserslautern - Germany

More information

Quality. Stages. Alun D. Jones

Quality. Stages. Alun D. Jones Quality - by Design Quality Design Review Stages Alun D. Jones Design Review Stages Design Review 0 (DR0) Pre-order & quotation stage Design Review 1 (DR1) Initial kick-off and preliminary specification

More information

on-chip and Embedded Software Perspectives and Needs

on-chip and Embedded Software Perspectives and Needs Systems-on on-chip and Embedded Software - Perspectives and Needs Miguel Santana Central R&D, STMicroelectronics STMicroelectronics Outline Current trends for SoCs Consequences and challenges Needs: Tackling

More information

MAJORS: Computer Engineering, Computer Science, Electrical Engineering

MAJORS: Computer Engineering, Computer Science, Electrical Engineering Qualcomm MAJORS: Computer Engineering, Computer Science, Electrical Engineering TITLE: Intern - Software Engineer - Summer 2012 JOB DESCRIPTION: G1889814 Job Title Intern - Software Engineer - Summer 2012

More information

ARM Webinar series. ARM Based SoC. Abey Thomas

ARM Webinar series. ARM Based SoC. Abey Thomas ARM Webinar series ARM Based SoC Verification Abey Thomas Agenda About ARM and ARM IP ARM based SoC Verification challenges Verification planning and strategy IP Connectivity verification Performance verification

More information

New Methodologies in Smart Card Security Design. Y.GRESSUS Methodology and Secure ASIC development manager, Bull CP8

New Methodologies in Smart Card Security Design. Y.GRESSUS Methodology and Secure ASIC development manager, Bull CP8 New Methodologies in Smart Card Security Design Y.GRESSUS Methodology and Secure ASIC development manager, Bull CP8 Japan Security Conference Page 2 Trends Opportunities New methodologies Summary Concurrent

More information

Assertion Synthesis Enabling Assertion-Based Verification For Simulation, Formal and Emulation Flows

Assertion Synthesis Enabling Assertion-Based Verification For Simulation, Formal and Emulation Flows Assertion Synthesis Enabling Assertion-Based Verification For Simulation, Formal and Emulation Flows Manual Assertion Creation is ABV Bottleneck Assertion-Based Verification adopted by leading design companies

More information

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes of stuck-at

More information

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

USTC Course for students entering Clemson F2013 Equivalent Clemson Course Counts for Clemson MS Core Area. CPSC 822 Case Study in Operating Systems

USTC Course for students entering Clemson F2013 Equivalent Clemson Course Counts for Clemson MS Core Area. CPSC 822 Case Study in Operating Systems USTC Course for students entering Clemson F2013 Equivalent Clemson Course Counts for Clemson MS Core Area 398 / SE05117 Advanced Cover software lifecycle: waterfall model, V model, spiral model, RUP and

More information

Networking Virtualization Using FPGAs

Networking Virtualization Using FPGAs Networking Virtualization Using FPGAs Russell Tessier, Deepak Unnikrishnan, Dong Yin, and Lixin Gao Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Massachusetts,

More information

VHDL-Testbench as Executable Specification

VHDL-Testbench as Executable Specification VHDL- as Executable Specification Michael Pichler Zentrum für Mikroelektronik Aargau Fachhochschule Aargau, Steinackerstrasse 5, CH-5210 Windisch Web: www.zma.ch - E-mail: m.pichler@zma.ch Seite 1 Overview

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

Networked Embedded Systems: Design Challenges

Networked Embedded Systems: Design Challenges Networked Embedded Systems: Design Challenges Davide Quaglia Electronic Systems Design Group University of Verona 3 a giornata nazionale di Sintesi Logica, Verona, Jun 21, 2007 Outline Motivation Networked

More information

PowerPlay Power Analysis & Optimization Technology

PowerPlay Power Analysis & Optimization Technology Quartus II Software Questions & Answers Following are the most frequently asked questions about the new features in Altera s Quartus II design software. PowerPlay Power Analysis & Optimization Technology

More information

Modeling a GPS Receiver Using SystemC

Modeling a GPS Receiver Using SystemC Modeling a GPS Receiver using SystemC Modeling a GPS Receiver Using SystemC Bernhard Niemann Reiner Büttner Martin Speitel http://www.iis.fhg.de http://www.iis.fhg.de/kursbuch/kurse/systemc.html The e

More information

Engineering Change Order (ECO) Support in Programmable Logic Design

Engineering Change Order (ECO) Support in Programmable Logic Design White Paper Engineering Change Order (ECO) Support in Programmable Logic Design A major benefit of programmable logic is that it accommodates changes to the system specification late in the design cycle.

More information

Introduction to System-on-Chip

Introduction to System-on-Chip Introduction to System-on-Chip COE838: Systems-on-Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

The implementation and performance/cost/power analysis of the network security accelerator on SoC applications

The implementation and performance/cost/power analysis of the network security accelerator on SoC applications The implementation and performance/cost/power analysis of the network security accelerator on SoC applications Ruei-Ting Gu grating@eslab.cse.nsysu.edu.tw Kuo-Huang Chung khchung@eslab.cse.nsysu.edu.tw

More information

Decomposition into Parts. Software Engineering, Lecture 4. Data and Function Cohesion. Allocation of Functions and Data. Component Interfaces

Decomposition into Parts. Software Engineering, Lecture 4. Data and Function Cohesion. Allocation of Functions and Data. Component Interfaces Software Engineering, Lecture 4 Decomposition into suitable parts Cross cutting concerns Design patterns I will also give an example scenario that you are supposed to analyse and make synthesis from The

More information

ELEC 5260/6260/6266 Embedded Computing Systems

ELEC 5260/6260/6266 Embedded Computing Systems ELEC 5260/6260/6266 Embedded Computing Systems Spring 2016 Victor P. Nelson Text: Computers as Components, 3 rd Edition Prof. Marilyn Wolf (Georgia Tech) Course Topics Embedded system design & modeling

More information

Seeking Opportunities for Hardware Acceleration in Big Data Analytics

Seeking Opportunities for Hardware Acceleration in Big Data Analytics Seeking Opportunities for Hardware Acceleration in Big Data Analytics Paul Chow High-Performance Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Toronto Who

More information

How To Design An Image Processing System On A Chip

How To Design An Image Processing System On A Chip RAPID PROTOTYPING PLATFORM FOR RECONFIGURABLE IMAGE PROCESSING B.Kovář 1, J. Kloub 1, J. Schier 1, A. Heřmánek 1, P. Zemčík 2, A. Herout 2 (1) Institute of Information Theory and Automation Academy of

More information

Model Checking of Software

Model Checking of Software Model Checking of Software Patrice Godefroid Bell Laboratories, Lucent Technologies SpecNCheck Page 1 August 2001 A Brief History of Model Checking Prehistory: transformational programs and theorem proving

More information

A Framework for Automatic Generation of Configuration Files for a Custom Hardware/Software RTOS

A Framework for Automatic Generation of Configuration Files for a Custom Hardware/Software RTOS A Framework for Automatic Generation of Configuration Files for a Custom Hardware/Software Jaehwan Lee, Kyeong Keol Ryu and Vincent John Mooney III School of Electrical and Computer Engineering Georgia

More information

System-On Chip Modeling and Design A case study on MP3 Decoder

System-On Chip Modeling and Design A case study on MP3 Decoder System-On Chip Modeling and Design A case study on MP3 Decoder Pramod Chandraiah, Hans Gunar Schirner, Nirupama Srinivas and Rainer Doemer CECS Technical Report 04-17 June 21, 2004 Center for Embedded

More information

MsC in Advanced Electronics Systems Engineering

MsC in Advanced Electronics Systems Engineering MsC in Advanced Electronics Systems Engineering 1 2 General overview Location: Dijon, University of Burgundy, France Tuition Fees : 475 / year Course Language: English Course duration: 1 year Level: Second

More information

A New, High-Performance, Low-Power, Floating-Point Embedded Processor for Scientific Computing and DSP Applications

A New, High-Performance, Low-Power, Floating-Point Embedded Processor for Scientific Computing and DSP Applications 1 A New, High-Performance, Low-Power, Floating-Point Embedded Processor for Scientific Computing and DSP Applications Simon McIntosh-Smith Director of Architecture 2 Multi-Threaded Array Processing Architecture

More information

Electronic systems prototyping: Tools and methodologies for a better observability.

Electronic systems prototyping: Tools and methodologies for a better observability. Electronic systems prototyping: Tools and methodologies for a better observability. In an electronic system development flow, a prototyping phase is very diversely valued by the electronic system engineer

More information

FPGA area allocation for parallel C applications

FPGA area allocation for parallel C applications 1 FPGA area allocation for parallel C applications Vlad-Mihai Sima, Elena Moscu Panainte, Koen Bertels Computer Engineering Faculty of Electrical Engineering, Mathematics and Computer Science Delft University

More information

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor Von der Hardware zur Software in FPGAs mit Embedded Prozessoren Alexander Hahn Senior Field Application Engineer Lattice Semiconductor AGENDA Overview Mico32 Embedded Processor Development Tool Chain HW/SW

More information

PowerPC Microprocessor Clock Modes

PowerPC Microprocessor Clock Modes nc. Freescale Semiconductor AN1269 (Freescale Order Number) 1/96 Application Note PowerPC Microprocessor Clock Modes The PowerPC microprocessors offer customers numerous clocking options. An internal phase-lock

More information

Microelectronic System-on-Chip Modeling using Objects and their Relationships

Microelectronic System-on-Chip Modeling using Objects and their Relationships Microelectronic System-on-Chip Modeling using Objects and their Relationships Frederic Doucet, Rajesh K. Gupta {doucet, rgupta}@ics.uci.edu Center for Embedded Computer Systems University of California

More information

YAML: A Tool for Hardware Design Visualization and Capture

YAML: A Tool for Hardware Design Visualization and Capture YAML: A Tool for Hardware Design Visualization and Capture Vivek Sinha, Frederic Doucet, Chuck Siska, Rajesh Gupta, Stan Liao, Abhijit Ghosh Center for Embedded Computer Systems, University of California,

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 2922 ISSN 2229-5518

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 2922 ISSN 2229-5518 International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 2922 Design and Verification of a Software Defined radio platform using Modelsim and Altera FPGA. Barun Sharma,P.Nagaraju,Krishnamurthy

More information

Hardware/Software Codesign Overview

Hardware/Software Codesign Overview Hardware/Software Codesign Overview Education & Facilitation Program Module 14 Version 3.00 All rights reserved. This information is copyrighted by the SCRA, through its Advanced Technology Institute,

More information

Using ModelSim, Matlab/Simulink and NS for Simulation of Distributed Systems

Using ModelSim, Matlab/Simulink and NS for Simulation of Distributed Systems Using ModelSim, Matlab/Simulink and NS for Simulation of Distributed Systems U. Hatnik, S. Altmann Fraunhofer Gesellschaft EAS/ SDA 2004 8. September 2004 Outline Motivation Requirements Object Oriented

More information

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev. 1.4. Key Design Features. Block Diagram. Generic Parameters.

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev. 1.4. Key Design Features. Block Diagram. Generic Parameters. Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core 16-bit signed output samples 32-bit phase accumulator (tuning word) 32-bit phase shift feature Phase resolution of 2π/2

More information

Abstract. Cycle Domain Simulator for Phase-Locked Loops

Abstract. Cycle Domain Simulator for Phase-Locked Loops Abstract Cycle Domain Simulator for Phase-Locked Loops Norman James December 1999 As computers become faster and more complex, clock synthesis becomes critical. Due to the relatively slower bus clocks

More information

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source)

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source) FPGA IMPLEMENTATION OF 4D-PARITY BASED DATA CODING TECHNIQUE Vijay Tawar 1, Rajani Gupta 2 1 Student, KNPCST, Hoshangabad Road, Misrod, Bhopal, Pin no.462047 2 Head of Department (EC), KNPCST, Hoshangabad

More information

Digital Design Verification

Digital Design Verification Digital Design Verification Course Instructor: Debdeep Mukhopadhyay Dept of Computer Sc. and Engg. Indian Institute of Technology Madras, Even Semester Course No: CS 676 1 Verification??? What is meant

More information

An Easier Way for Cross-Platform Data Acquisition Application Development

An Easier Way for Cross-Platform Data Acquisition Application Development An Easier Way for Cross-Platform Data Acquisition Application Development For industrial automation and measurement system developers, software technology continues making rapid progress. Software engineers

More information