Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu

Size: px
Start display at page:

Download "Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu"

Transcription

1 Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization TingTing Hwang Tsing Hua University, Hsin-Chu 1

2 Outline Introduction Engineering Change Order (ECO) Voltage drop (IR-DROP) New design style Cell level Chip level New design style for ECO flow RECON ECO algorithm Experimental results Conclusions 2

3 Outline Introduction Engineering Change Order (ECO) Voltage drop (IR-DROP) New design style Cell level Chip level New design style for ECO flow RECON ECO algorithm Experimental results Conclusions 3

4 Engineering Change Order (ECO) Incremental change of a design To fix bugs To meet timing constraint To meet small change of functionality Small modification instead of redesign a circuit To save the reiteration of design flow To reduce the cost of mask-making 5

5 Spare Cells in ECO Spare cells (NOT, NOR, NAND) are placed evenly in layout at physical design Spare cells are then used for modification in ECO flow 6

6 Outline Introduction Engineering Change Order (ECO) Voltage drop (IR-DROP) New design style Cell level Chip level New design style for ECO flow RECON ECO algorithm Experimental results Conclusions 7

7 Voltage Drop Power source fluctuations become serious High performance Lower supply voltage VDD/GND variations Chip speed Noise margin Adding decoupling capacitance (decap) is an effective way to reduce power noise [Sachin, TCAD 2003] 8

8 New ECO Design Flow A new reconfigurable (RECON) cell structure Served as spare cell and decoupling capacitor Leakage reduction Free selecting of function type Demonstration of RECON cell by an ECO algorithm for timing closure and IR drop minimization 11

9 Outline Introduction Engineering Change Order (ECO) Voltage drop (IR-DROP) New design style Cell level Chip level New design style for ECO flow RECON ECO algorithm Experimental results Conclusions 12

10 RECON Base Cell Two PMOS transistor with same transistor width Two NMOS transistor with same transistor width Eight CONTACTs VDD and GND implemented by layer of metal-1 13

11 DECAP Cell VDD VDD GND GND Schematic of cell Configured from RECON base cell Use Metal-1 connection 15

12 Functional Cell (a) Inverter (b) 2-Input NAND (c) 2-Input NOR Configured from RECON base cell 16

13 Comparisons Between RECON Cells and Standard Cells Setup of experiment Cell layouts created with TSMC 0.13um process SPICE net-lists extracted by RCextractor Delay, leakage, internal power and input pin capacitance by SPICE simulation 17

14 As Decoupling Cells Less flexibility of layout 16%-39% capacitance 9%-34% leakage 18

15 As Functional Cells Area Delay Leakage w/o tie-cell w/i tie-cell Recon w/o tie-cell w/i tie-cell Recon Power Pin cap INVX INVX INVX INVX INVX ND2X ND2X NR2X NR2X BUFX BUFX BUFX BUFX Average

16 Outline Introduction Engineering Change Order (ECO) Voltage drop (IR-DROP) New design style Cell level Chip level New design style for ECO flow RECON ECO algorithm Experimental results Conclusions 21

17 Model of Power Supply Analysis Cycle-based time frame 22

18 Model of Power Supply Analysis (cont.) Metal layer of VDD and GND modeled as a power-grid resistance Standard cells modeled as time-varying current source RECON DECAP cells modeled as capacitors connected between VDD and GND 23

19 Model of Power Supply Analysis (cont.) Supply voltage variation can be derived as following Clock cycle is divided into many time slots Switching gate are derived from static timing analysis Maximum current consumption are calculated in each time slot. 24

20 IR Drop Analysis of Whole Chip 26

21 Leakage Analysis of Whole Chip 27

22 Outline Introduction Engineering Change Order (ECO) Voltage Drop (IR-DROP) New design style Cell level Chip level New design style for ECO flow RECON ECO algorithm Experimental result Conclusion 28

23 Differences Between RECON and Traditional ECO Flows RECON DECAP instead of spare cells are pre-placed RECON DECAP cells are reconfigured to RECON functional cells when RECON ECO flow is performed Unselected RECON DECAP cells are kept as decoupling capacitors 29

24 Problem Formulation An ECO path is a path that violates the timing constraint Given a set of placed gate level net-list, ECO paths and timing constraint, perform gate sizing or buffer insertion on ECO paths Timing constraint is met IR drop is minimized 30

25 RECON ECO Algorithm Input: a set of ECO paths to be optimized For each ECO path Find the critical gates in ECO paths and put in ECO_gate_list While (timing is not satisfy) Choose the gate from ECO_gate_list with most output loading Perform gate sizing or buffer insertion List_A = search_region(gate_sizing) List_B = search_region(buffer_insertion) For all configurable cell Rg in List_A or List_B If IRdrop(Rg) > threshold Remove Rg in List_A or List_B Candidate_list = List_A + List_B Compute path delay gain for all Rg in Candidate_list Select the best Rg corresponding to the best delay gain Update the ECO path delay End while 32

26 Search Region for Gate Sizing D1 D2 G5 G1 G3 G4 G7 G2 D4 D3 D5 G6 D6 Search_region(G4) = Bounding_Box(G3 U G4 U G5 U G6) 33

27 Search Region for Buffer Insertion D1 D2 G5 G1 G3 D3 G4 D5 G7 G2 D4 G6 D6 Search_region(G4) = Bounding_Box(G4 U G5 U G6) 34

28 Outline Introduction Engineering Change Order (ECO) Voltage drop (IR-DROP) New design style Cell level Chip level New design style for ECO flow RECON ECO algorithm Experimental results Conclusions 35

29 Experimental Setup ITC99 benchmarks Benchmarks synthesized to gate-level net-list using TSMC 0.13um process Standard cells and RECON DECAP cells placed by SOCEncounter 20% area used to place RECON DECAP cells 36

30 Experimental Flow 37

31 Statistics of Benchmarking Circuits Timing constraint is set to 90% of critical path delay in the original circuit 38

32 Leakage (na) Leakage Comparisons Before ECO Leakage comparison with traditional spare cells with RECON DECAP cells 0 b14 b15 b20 b21 b22 benchmark set 39

33 Performance Comparisons Before ECO Trad: traditional spare cells RECON: RECON decap cells 40

34 Performance Comparisons After ECO Trad: traditional spare cells RECON: RECON decap cells 41

35 Number of Unsolved Paths After ECO Trad: traditional spare cells RECON: RECON decap cell 42

36 Conclusions A new cell structure Decoupling capacitor cell ECO spare cell A reconfigurable ECO flow 20% IR drop reduction 44% leakage reduction 43

37 44

CADENCE LAYOUT TUTORIAL

CADENCE LAYOUT TUTORIAL CADENCE LAYOUT TUTORIAL Creating Layout of an inverter from a Schematic: Open the existing Schematic Page 1 From the schematic editor window Tools >Design Synthesis >Layout XL A window for startup Options

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

Document Contents Introduction Layout Extraction with Parasitic Capacitances Timing Analysis DC Analysis

Document Contents Introduction Layout Extraction with Parasitic Capacitances Timing Analysis DC Analysis Cadence Tutorial C: Simulating DC and Timing Characteristics Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group rev S06 (convert to spectre simulator) Document Contents Introduction

More information

International Journal of Electronics and Computer Science Engineering 1482

International Journal of Electronics and Computer Science Engineering 1482 International Journal of Electronics and Computer Science Engineering 1482 Available Online at www.ijecse.org ISSN- 2277-1956 Behavioral Analysis of Different ALU Architectures G.V.V.S.R.Krishna Assistant

More information

Power Delivery Network (PDN) Analysis

Power Delivery Network (PDN) Analysis Power Delivery Network (PDN) Analysis Edoardo Genovese Importance of PDN Design Ensure clean power Power Deliver Network (PDN) Signal Integrity EMC Limit Power Delivery Network (PDN) VRM Bulk caps MB caps

More information

An Advanced Behavioral Buffer Model With Over-Clocking Solution. Yingxin Sun, Joy Li, Joshua Luo IBIS Summit Santa Clara, CA Jan.

An Advanced Behavioral Buffer Model With Over-Clocking Solution. Yingxin Sun, Joy Li, Joshua Luo IBIS Summit Santa Clara, CA Jan. An Advanced Behavioral Buffer Model With Over-Clocking Solution Yingxin Sun, Joy Li, Joshua Luo IBIS Summit Santa Clara, CA Jan. 31, 2014 Agenda 1. SPICE Model and Behavioral Buffer Model 2. Over-Clocking

More information

System on Chip Design. Michael Nydegger

System on Chip Design. Michael Nydegger Short Questions, 26. February 2015 What is meant by the term n-well process? What does this mean for the n-type MOSFETs in your design? What is the meaning of the threshold voltage (practically)? What

More information

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING BARIS TASKIN, JOHN WOOD, IVAN S. KOURTEV February 28, 2005 Research Objective Objective: Electronic design automation

More information

IL2225 Physical Design

IL2225 Physical Design IL2225 Physical Design Nasim Farahini farahini@kth.se Outline Physical Implementation Styles ASIC physical design Flow Floor and Power planning Placement Clock Tree Synthesis Routing Timing Analysis Verification

More information

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN USING DIFFERENT FOUNDRIES Priyanka Sharma 1 and Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department

More information

Status of the design of the TDC for the GTK TDCpix ASIC

Status of the design of the TDC for the GTK TDCpix ASIC Status of the design of the TDC for the GTK TDCpix ASIC Gianluca Aglieri Rinella, Lukas Perktold DLL design review meeting, 16 03 2011 Outline Introduction Purpose and objectives Reminder Challenges of

More information

Class 11: Transmission Gates, Latches

Class 11: Transmission Gates, Latches Topics: 1. Intro 2. Transmission Gate Logic Design 3. X-Gate 2-to-1 MUX 4. X-Gate XOR 5. X-Gate 8-to-1 MUX 6. X-Gate Logic Latch 7. Voltage Drop of n-ch X-Gates 8. n-ch Pass Transistors vs. CMOS X-Gates

More information

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1 ing Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle 6.884 - Spring 2005 2/18/05

More information

Three-Phase Dual-Rail Pre-Charge Logic

Three-Phase Dual-Rail Pre-Charge Logic Infineon Page 1 CHES 2006 - Yokohama Three-Phase Dual-Rail Pre-Charge Logic L. Giancane, R. Luzzi, A. Trifiletti {marco.bucci, raimondo.luzzi}@infineon.com {giancane, trifiletti}@die.mail.uniroma1.it Summary

More information

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures Sheng Li, Junh Ho Ahn, Richard Strong, Jay B. Brockman, Dean M Tullsen, Norman Jouppi MICRO 2009

More information

IBIS for SSO Analysis

IBIS for SSO Analysis IBIS for SSO Analysis Asian IBIS Summit, November 15, 2010 (Presented previously at Asian IBIS Summits, Nov. 9 & 12, 2010) Haisan Wang Joshua Luo Jack Lin Zhangmin Zhong Contents Traditional I/O SSO Analysis

More information

Low Power AMD Athlon 64 and AMD Opteron Processors

Low Power AMD Athlon 64 and AMD Opteron Processors Low Power AMD Athlon 64 and AMD Opteron Processors Hot Chips 2004 Presenter: Marius Evers Block Diagram of AMD Athlon 64 and AMD Opteron Based on AMD s 8 th generation architecture AMD Athlon 64 and AMD

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Jan M. Rabaey Homework #1: Circuit Simulation EECS 141 Due Friday, January 30, 5pm, box in 240

More information

CMOS, the Ideal Logic Family

CMOS, the Ideal Logic Family CMOS, the Ideal Logic Family INTRODUCTION Let s talk about the characteristics of an ideal logic family. It should dissipate no power, have zero propagation delay, controlled rise and fall times, and have

More information

Royal Military College of Canada

Royal Military College of Canada Microelectronics Lab Cadence Tutorials Layout Design and Simulation (Using Virtuoso / Diva / Analog Artist) Department of Electrical & Computer Engineering Royal Military College of Canada Cadence University

More information

NAME AND SURNAME. TIME: 1 hour 30 minutes 1/6

NAME AND SURNAME. TIME: 1 hour 30 minutes 1/6 E.T.S.E.T.B. MSc in ICT FINAL EXAM VLSI Digital Design Spring Course 2005-2006 June 6, 2006 Score publication date: June 19, 2006 Exam review request deadline: June 22, 2006 Academic consultancy: June

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical delivers superior quality

More information

Printed Circuit Boards. Bypassing, Decoupling, Power, Grounding Building Printed Circuit Boards CAD Tools

Printed Circuit Boards. Bypassing, Decoupling, Power, Grounding Building Printed Circuit Boards CAD Tools Printed Circuit Boards (PCB) Printed Circuit Boards Bypassing, Decoupling, Power, Grounding Building Printed Circuit Boards CAD Tools 1 Bypassing, Decoupling, Power, Grounding 2 Here is the circuit we

More information

CMOS Thyristor Based Low Frequency Ring Oscillator

CMOS Thyristor Based Low Frequency Ring Oscillator CMOS Thyristor Based Low Frequency Ring Oscillator Submitted by: PIYUSH KESHRI BIPLAB DEKA 4 th year Undergraduate Student 4 th year Undergraduate Student Electrical Engineering Dept. Electrical Engineering

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

Introduction to CMOS VLSI Design

Introduction to CMOS VLSI Design Introduction to CMOS VLSI esign Slides adapted from: N. Weste,. Harris, CMOS VLSI esign, Addison-Wesley, 3/e, 24 Introduction Integrated Circuits: many transistors on one chip Very Large Scale Integration

More information

EM Noise Mitigation in Circuit Boards and Cavities

EM Noise Mitigation in Circuit Boards and Cavities EM Noise Mitigation in Circuit Boards and Cavities Faculty (UMD): Omar M. Ramahi, Neil Goldsman and John Rodgers Visiting Professors (Finland): Fad Seydou Graduate Students (UMD): Xin Wu, Lin Li, Baharak

More information

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-4, Issue-1 E-ISSN: 2347-2693 A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology Zahra

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

Class 18: Memories-DRAMs

Class 18: Memories-DRAMs Topics: 1. Introduction 2. Advantages and Disadvantages of DRAMs 3. Evolution of DRAMs 4. Evolution of DRAMs 5. Basics of DRAMs 6. Basics of DRAMs 7. Write Operation 8. SA-Normal Operation 9. SA-Read Operation

More information

ECE124 Digital Circuits and Systems Page 1

ECE124 Digital Circuits and Systems Page 1 ECE124 Digital Circuits and Systems Page 1 Chip level timing Have discussed some issues related to timing analysis. Talked briefly about longest combinational path for a combinational circuit. Talked briefly

More information

Features. Modulation Frequency (khz) VDD. PLL Clock Synthesizer with Spread Spectrum Circuitry GND

Features. Modulation Frequency (khz) VDD. PLL Clock Synthesizer with Spread Spectrum Circuitry GND DATASHEET IDT5P50901/2/3/4 Description The IDT5P50901/2/3/4 is a family of 1.8V low power, spread spectrum clock generators capable of reducing EMI radiation from an input clock. Spread spectrum technique

More information

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation 1 Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation Vivek Joshi, Kanak Agarwal*, Dennis Sylvester, David Blaauw Electrical Engineering & Computer Science University of Michigan,

More information

Low leakage and high speed BCD adder using clock gating technique

Low leakage and high speed BCD adder using clock gating technique Low leakage and high speed BCD adder using clock gating technique Mr. Suri shiva 1 Mr K.R.Anudeep Laxmikanth 2 Mr. Naveen Kumar.Ch 3 Abstract The growing market of mobile, battery powered electronic systems

More information

Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating

Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating S.Nandhini 1, T.G.Dhaarani 2, P.Kokila 3, P.Premkumar 4 Assistant Professor, Dept. of ECE, Nandha Engineering College, Erode,

More information

Chapter 10 Advanced CMOS Circuits

Chapter 10 Advanced CMOS Circuits Transmission Gates Chapter 10 Advanced CMOS Circuits NMOS Transmission Gate The active pull-up inverter circuit leads one to thinking about alternate uses of NMOS devices. Consider the circuit shown in

More information

Layout of Multiple Cells

Layout of Multiple Cells Layout of Multiple Cells Beyond the primitive tier primitives add instances of primitives add additional transistors if necessary add substrate/well contacts (plugs) add additional polygons where needed

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

Supply voltage Supervisor TL77xx Series. Author: Eilhard Haseloff

Supply voltage Supervisor TL77xx Series. Author: Eilhard Haseloff Supply voltage Supervisor TL77xx Series Author: Eilhard Haseloff Literature Number: SLVAE04 March 1997 i IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to

More information

Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells

Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells Sushil B. Bhaisare 1, Sonalee P. Suryawanshi 2, Sagar P. Soitkar 3 1 Lecturer in Electronics Department, Nagpur University, G.H.R.I.E.T.W. Nagpur,

More information

Sequential 4-bit Adder Design Report

Sequential 4-bit Adder Design Report UNIVERSITY OF WATERLOO Faculty of Engineering E&CE 438: Digital Integrated Circuits Sequential 4-bit Adder Design Report Prepared by: Ian Hung (ixxxxxx), 99XXXXXX Annette Lo (axxxxxx), 99XXXXXX Pamela

More information

Leakage Power Reduction Using Sleepy Stack Power Gating Technique

Leakage Power Reduction Using Sleepy Stack Power Gating Technique Leakage Power Reduction Using Sleepy Stack Power Gating Technique M.Lavanya, P.Anitha M.E Student [Applied Electronics], Dept. of ECE, Kingston Engineering College, Vellore, Tamil Nadu, India Assistant

More information

EMC / EMI issues for DSM: new challenges

EMC / EMI issues for DSM: new challenges EMC / EMI issues for DSM: new challenges A. Boyer, S. Ben Dhia, A. C. Ndoye INSA Toulouse Université de Toulouse / LATTIS, France www.ic-emc.org Long Term Reliability in DSM, 3rd October, 2008 www.ic-emc.org

More information

S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India

S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India Power reduction on clock-tree using Energy recovery and clock gating technique S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India Abstract Power consumption of

More information

1.1 Silicon on Insulator a brief Introduction

1.1 Silicon on Insulator a brief Introduction Table of Contents Preface Acknowledgements Chapter 1: Overview 1.1 Silicon on Insulator a brief Introduction 1.2 Circuits and SOI 1.3 Technology and SOI Chapter 2: SOI Materials 2.1 Silicon on Heteroepitaxial

More information

Design and analysis of flip flops for low power clocking system

Design and analysis of flip flops for low power clocking system Design and analysis of flip flops for low power clocking system Gabariyala sabadini.c PG Scholar, VLSI design, Department of ECE,PSNA college of Engg and Tech, Dindigul,India. Jeya priyanka.p PG Scholar,

More information

A Utility for Leakage Power Recovery within PrimeTime 1 SI

A Utility for Leakage Power Recovery within PrimeTime 1 SI within PrimeTime 1 SI Bruce Zahn LSI Corporation Bruce.Zahn@lsi.com ABSTRACT This paper describes a utility which is run within the PrimeTime SI signoff environment that recovers leakage power and achieves

More information

Digital IC Design Flow

Digital IC Design Flow Collège Militaire Royal du Canada (Cadence University Alliance Program Member) Department of Electrical and Computer Engineering Départment de Génie Electrique et Informatique RMC Microelectronics Lab

More information

Contents. Overview... 5-1 Memory Compilers Selection Guide... 5-2

Contents. Overview... 5-1 Memory Compilers Selection Guide... 5-2 Memory Compilers 5 Contents Overview... 5-1 Memory Compilers Selection Guide... 5-2 CROM Gen... 5-3 DROM Gen... 5-9 SPSRM Gen... 5-15 SPSRM Gen... 5-22 SPRM Gen... 5-31 DPSRM Gen... 5-38 DPSRM Gen... 5-47

More information

Lab 3 Layout Using Virtuoso Layout XL (VXL)

Lab 3 Layout Using Virtuoso Layout XL (VXL) Lab 3 Layout Using Virtuoso Layout XL (VXL) This Lab will go over: 1. Creating layout with Virtuoso layout XL (VXL). 2. Transistor Chaining. 3. Creating Standard cell. 4. Manual Routing 5. Providing Substrate

More information

Low latency synchronization through speculation

Low latency synchronization through speculation Low latency synchronization through speculation D.J.Kinniment, and A.V.Yakovlev School of Electrical and Electronic and Computer Engineering, University of Newcastle, NE1 7RU, UK {David.Kinniment,Alex.Yakovlev}@ncl.ac.uk

More information

3-Channel Supervisor IC for Power Supply

3-Channel Supervisor IC for Power Supply 3-Channel Supervisor IC for Power Supply Features Over-voltage protection and lockout Under-voltage protection and lockout Open drain power good output signal Built-in 300mS delay for power good 38mS de-bounce

More information

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Outline Here we introduced () basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Circuit Logic Gate A logic gate is an elemantary building block

More information

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology Topics of Chapter 5 Sequential Machines Memory elements Memory elements. Basics of sequential machines. Clocking issues. Two-phase clocking. Testing of combinational (Chapter 4) and sequential (Chapter

More information

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat. Introduction to VLSI Programming TU/e course 2IN30 Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.Lab] Introduction to VLSI Programming Goals Create silicon (CMOS) awareness

More information

Route Power 10 Connect Powerpin 10.1 Route Special Route 10.2 Net(s): VSS VDD

Route Power 10 Connect Powerpin 10.1 Route Special Route 10.2 Net(s): VSS VDD SOCE Lab (2/2): Clock Tree Synthesis and Routing Lab materials are available at ~cvsd/cur/soce/powerplan.tar.gz Please untar the file in the folder SOCE_Lab before lab 1 Open SOC Encounter 1.1 % source

More information

ECE 410: VLSI Design Course Introduction

ECE 410: VLSI Design Course Introduction ECE 410: VLSI Design Course Introduction Professor Andrew Mason Michigan State University Spring 2008 ECE 410, Prof. A. Mason Lecture Notes Page i.1 Age of electronics microcontrollers, DSPs, and other

More information

Digital to Analog Converter. Raghu Tumati

Digital to Analog Converter. Raghu Tumati Digital to Analog Converter Raghu Tumati May 11, 2006 Contents 1) Introduction............................... 3 2) DAC types................................... 4 3) DAC Presented.............................

More information

Lecture 11: Sequential Circuit Design

Lecture 11: Sequential Circuit Design Lecture 11: Sequential Circuit esign Outline Sequencing Sequencing Element esign Max and Min-elay Clock Skew Time Borrowing Two-Phase Clocking 2 Sequencing Combinational logic output depends on current

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram Quad PLL with VCXO Quick Turn Clock Description The ICS379 QTClock TM generates up to 9 high quality, high frequency clock outputs including a reference from a low frequency pullable crystal. It is designed

More information

CMOS Power Consumption and C pd Calculation

CMOS Power Consumption and C pd Calculation CMOS Power Consumption and C pd Calculation SCAA035B June 1997 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor product or

More information

LOW POWER DESIGN OF DIGITAL SYSTEMS USING ENERGY RECOVERY CLOCKING AND CLOCK GATING

LOW POWER DESIGN OF DIGITAL SYSTEMS USING ENERGY RECOVERY CLOCKING AND CLOCK GATING LOW POWER DESIGN OF DIGITAL SYSTEMS USING ENERGY RECOVERY CLOCKING AND CLOCK GATING A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of the requirements for

More information

Title Page Paper title: Optimal Shielding/Spacing Metrics for Low Power Design

Title Page Paper title: Optimal Shielding/Spacing Metrics for Low Power Design Title Page Paper title: Optimal Shielding/Spacing Metrics for Low Power Design Authors: Ravishankar Arunachalam, IBM Corporation Emrah Acar, IBM Corporation San Nassif, IBM Corporation Corresponding Author:

More information

Chapter 9 Latches, Flip-Flops, and Timers

Chapter 9 Latches, Flip-Flops, and Timers ETEC 23 Programmable Logic Devices Chapter 9 Latches, Flip-Flops, and Timers Shawnee State University Department of Industrial and Engineering Technologies Copyright 27 by Janna B. Gallaher Latches A temporary

More information

Printed-Circuit-Board Layout for Improved Electromagnetic Compatibility

Printed-Circuit-Board Layout for Improved Electromagnetic Compatibility Printed-Circuit-Board Layout for Improved Electromagnetic Compatibility SDYA011 October 1996 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue

More information

SPREAD SPECTRUM CLOCK GENERATOR. Features

SPREAD SPECTRUM CLOCK GENERATOR. Features DATASHEET ICS7152 Description The ICS7152-01, -02, -11, and -12 are clock generators for EMI (Electro Magnetic Interference) reduction (see below for frequency ranges and multiplier ratios). Spectral peaks

More information

RF Energy Harvesting Circuits

RF Energy Harvesting Circuits RF Energy Harvesting Circuits Joseph Record University of Maine ECE 547 Fall 2011 Abstract This project presents the design and simulation of various energy harvester circuits. The overall design consists

More information

A 2-Slot Time-Division Multiplexing (TDM) Interconnect Network for Gigascale Integration (GSI)

A 2-Slot Time-Division Multiplexing (TDM) Interconnect Network for Gigascale Integration (GSI) A 2-Slot Time-Division Multiplexing (TDM) Interconnect Network for Gigascale Integration (GSI) Ajay Joshi and Jeff Davis AIMD Research Group Georgia Institute of Technology Sponsored by: NSF # 0092450

More information

VLSI Design Verification and Testing

VLSI Design Verification and Testing VLSI Design Verification and Testing Instructor Chintan Patel (Contact using email: cpatel2@cs.umbc.edu). Text Michael L. Bushnell and Vishwani D. Agrawal, Essentials of Electronic Testing, for Digital,

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 11 MOSFET part 2 guntzel@inf.ufsc.br I D -V DS Characteristics

More information

PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide

PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide Application Note PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide Introduction This document explains how to design a PCB with Prolific PL-277x SuperSpeed USB 3.0 SATA Bridge

More information

A 10,000 Frames/s 0.18 µm CMOS Digital Pixel Sensor with Pixel-Level Memory

A 10,000 Frames/s 0.18 µm CMOS Digital Pixel Sensor with Pixel-Level Memory Presented at the 2001 International Solid State Circuits Conference February 5, 2001 A 10,000 Frames/s 0.1 µm CMOS Digital Pixel Sensor with Pixel-Level Memory Stuart Kleinfelder, SukHwan Lim, Xinqiao

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

CMOS Binary Full Adder

CMOS Binary Full Adder CMOS Binary Full Adder A Survey of Possible Implementations Group : Eren Turgay Aaron Daniels Michael Bacelieri William Berry - - Table of Contents Key Terminology...- - Introduction...- 3 - Design Architectures...-

More information

CO2005: Electronics I (FET) Electronics I, Neamen 3th Ed. 1

CO2005: Electronics I (FET) Electronics I, Neamen 3th Ed. 1 CO2005: Electronics I The Field-Effect Transistor (FET) Electronics I, Neamen 3th Ed. 1 MOSFET The metal-oxide-semiconductor field-effect transistor (MOSFET) becomes a practical reality in the 1970s. The

More information

Subthreshold Real-Time Counter.

Subthreshold Real-Time Counter. Subthreshold Real-Time Counter. Jonathan Edvard Bjerkedok Master of Science in Electronics Submission date: June 2013 Supervisor: Snorre Aunet, IET Co-supervisor: Øivind Ekelund, Energy Micro AS Norwegian

More information

Lab 7: Operational Amplifiers Part I

Lab 7: Operational Amplifiers Part I Lab 7: Operational Amplifiers Part I Objectives The objective of this lab is to study operational amplifier (op amp) and its applications. We will be simulating and building some basic op amp circuits,

More information

Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs

Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs Ikchan Jang 1, Soyeon Joo 1, SoYoung Kim 1, Jintae Kim 2, 1 College of Information and Communication Engineering, Sungkyunkwan University,

More information

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches).

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Pass Gate Logic n alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Switch Network Regeneration is performed via a buffer. We have already

More information

ICS650-44 SPREAD SPECTRUM CLOCK SYNTHESIZER. Description. Features. Block Diagram DATASHEET

ICS650-44 SPREAD SPECTRUM CLOCK SYNTHESIZER. Description. Features. Block Diagram DATASHEET DATASHEET ICS650-44 Description The ICS650-44 is a spread spectrum clock synthesizer intended for video projector and digital TV applications. It generates three copies of an EMI optimized 50 MHz clock

More information

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes of stuck-at

More information

Optimal Technology Mapping and Cell Merger for Asynchronous Threshold Networks

Optimal Technology Mapping and Cell Merger for Asynchronous Threshold Networks Optimal Technology Mapping and Cell Merger for Asynchronous Threshold Networks Cheoljoo Jeong Steven M. Nowick Department of Computer Science Columbia University Outline Introduction Background Technology

More information

PLL frequency synthesizer

PLL frequency synthesizer ANALOG & TELECOMMUNICATION ELECTRONICS LABORATORY EXERCISE 4 Lab 4: PLL frequency synthesizer 1.1 Goal The goals of this lab exercise are: - Verify the behavior of a and of a complete PLL - Find capture

More information

1ED Compact A new high performance, cost efficient, high voltage gate driver IC family

1ED Compact A new high performance, cost efficient, high voltage gate driver IC family 1ED Compact A new high performance, cost efficient, high voltage gate driver IC family Heiko Rettinger, Infineon Technologies AG, Am Campeon 1-12, 85579 Neubiberg, Germany, heiko.rettinger@infineon.com

More information

STUDY OF CELLPHONE CHARGERS

STUDY OF CELLPHONE CHARGERS STUDY OF CELLPHONE CHARGERS Author : Suraj Hebbar Systems Lab, CeNSE,IISc Banglore ABSTRACT This report shows charging nature of different cellphone chargers with different cellphones. Here we took into

More information

TRIPLE PLL FIELD PROG. SPREAD SPECTRUM CLOCK SYNTHESIZER. Features

TRIPLE PLL FIELD PROG. SPREAD SPECTRUM CLOCK SYNTHESIZER. Features DATASHEET ICS280 Description The ICS280 field programmable spread spectrum clock synthesizer generates up to four high-quality, high-frequency clock outputs including multiple reference clocks from a low-frequency

More information

Section 19. Voltage Reference

Section 19. Voltage Reference M Section 19. Voltage Reference HIGHLIGHTS This section of the manual contains the following major topics: 19.1 Introduction...19-2 19.2 Control Register...19-3 19.3 Configuring the Voltage Reference...19-4

More information

EB215E Printed Circuit Board Layout for Improved Electromagnetic Compatibility

EB215E Printed Circuit Board Layout for Improved Electromagnetic Compatibility Printed Circuit Board Layout for Improved Author: Eilhard Haseloff Date: 10.07.96 Rev: * The electromagnetic compatibility (EMC) of electronic circuits and systems has recently become of increasing significance.

More information

True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique

True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique Priyanka Sharma ME (ECE) Student NITTTR Chandigarh Rajesh Mehra Associate Professor Department of ECE NITTTR Chandigarh

More information

1. Learn about the 555 timer integrated circuit and applications 2. Apply the 555 timer to build an infrared (IR) transmitter and receiver

1. Learn about the 555 timer integrated circuit and applications 2. Apply the 555 timer to build an infrared (IR) transmitter and receiver Electronics Exercise 2: The 555 Timer and its Applications Mechatronics Instructional Laboratory Woodruff School of Mechanical Engineering Georgia Institute of Technology Lab Director: I. Charles Ume,

More information

ic-mq EVAL MQ1D EVALUATION BOARD DESCRIPTION

ic-mq EVAL MQ1D EVALUATION BOARD DESCRIPTION Rev A3, Page 1/7 ORDERING INFORMATION Type Order Designation Description and Options Evaluation Board ic-mq EVAL MQ1D ic-mq Evaluation Board ready to operate, accessible through GUI via PC adapter Software

More information