ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU

Size: px
Start display at page:

Download "ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU"

Transcription

1 ESE566 REPORT3 Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU Nov 19th, 2002

2 ABSTRACT: In this report, we discuss several recent published papers on design methodologies of core-based System-on-Chip. There are some critical issues in SoC design, such as power consumption, hardware cost etc. Each of the methodology tries to address some of these issues. We will detail their ideas and their implementation and compare them with each other.

3 SECTION I: Introduction System-on-Chip design is becoming a trend nowdays It is predicted by ITRS that up to 70% of ASICs (Application Specific Integrated Chip) will be SoCs, which is being proved to be true in the electronic market. SoC designs give advantages compared to traditional board design, but at the same time it creates some serious issues which have to be taken care of. As mentioned in [1] [2] we summarize some important ones here. First, as the design becomes more and more complicated: communication can not be specified at RTL (Register Transfer Level), and this level is not adapted to check communication protocols due to very large time cost; second, SoC usually many processor cores and each core may have its own instruction set to increase the flexibility of the system. However, the code is getting big and complex, which is becoming more important that hardware itself. Software may not be written in Assembly Language as today and more effort has to be spent on this issue; third, complex HW/SW interface are required to implement application specific communication interconnect and they have to be adapted to it according to the type of core. Fourth, as more and more processors are integrated into one single chip, chip area, power consumption, hardware cost becomes very crucial. For example, a high power consumption may not be acceptable for portable wireless devices. State-of-art design methodologies deployed is core based system. In core based design, the designer composed a system of cores and has a high degree of freedom to optimize his/her design according to the related design constraints. In this report, we discuss several papers. [1] is paper on an improved design methodology and design environment for application specific multicore SoC architectures. Component based design follows a bottom-up approach, which has the advantage of allowing designers to explore efficient custom solutions with best performances, thus ensuring high degree of freedom. The component based design approach in [1] uses a specification as a virtual architecture which is described in a SystemC-like model and annotated with a set of configuration parameters. Associated tools were developed with the methodology and will be detailed in this report. [2] tries to address the fourth issue of power consumption using a new HW/SW partitioning approach for core based embedded systems. It stem from the observation that mapping clusters of

4 operations to a core can yield high utilization rate of involved resources and thus to minimize power consumption. This report is organized as follows. Section 2 details the methodologies in the papers. Section 3 compares all the mentioned methodologies and associated tools and finally conclusions will be given in Section 4. SECTION II: Improved design methodologies In this section, we will detail the ideas and implementation of the improved design methodologies in the referred papers [1][2]. [1] is a new component based design approach for multicore SoCs and is mainly intended to improve the design efficiency. [2] is a new HW/SW partitioning approach for core based embedded system design which mainly focus on the minimization of power consumption. Application SW Programming API Standard OS Service SW supported pkg(drivers) Hardware Functions realized SW Specific API Custom OS Drivers Hardware (a)application software stack Figure 1. Software Organization (b)functional software stack In traditional SoC architectures, each kind of embedded processor has its own software organization. The application software is generally organized as a stack of layers on top of the hardware. This is shown in Figure 1a. The lowest layer provides the drivers and upper layers provides OS services and SW application layer is generated by the designer. Top layer is application specific software, which is usually written in Assembly or C. The

5 problem with this kind of software organization is that low level programming is very hard and also, it lacks flexibility and portability which makes it difficult to change the hardware part without a complete redesign [1]. To palliate this problem, [1] proposes a higher level programming approach for dedicated software. The new organization of software is shown in Figure 1b. With the new scheme, both dedicated and application software can be written independently from the hardware implementation, which gives a big advantage. As the author mentioned, an additional benefit is that dedicated software can be independent from hardware/software frontiers on architectures components and OS choices [1]. Accordingly, flexibility is created in the design process without loss of performance. The author favors the use of component based design methodology as it increase the abstraction level and is beneficial for design time reduction for communication refinement. So, the key idea by the author is to use an abstract architecture where communication is separated from the component on the hardware side and from the functions on the software side. In the proposed methodology, system is described as a set of virtual components interconnected via channels. Virtual components are composed of wrappers and internal components. Virtual architecture is described by an extension of SystemC. The methodology will generated automatically the wrappers in order to produce a detailed architecture. The author uses a generic multicore SoC architecture in which processors are connected to communication networks via wrappers. Wrappers actually act as communication bridges. Wrapper is composed of a software part and a hardware part. For software part, wrappers provide the implementation of high level communication primitives used in software module. Software wrapper part can also be added more function if more services are required. For the hardware part, the internal structure of wrapper consists of a processor adapter, a channel adapter and an internal bus. In addition, the author also developed a design environment which can automatically generate wrappers. The complete system design flow is as follows: first, an initial internal model is obtained, which is a virtual architecture. Then, from the virtual architecture, hardware wrapper, software wrapper and co-simulation wrapper are generated. The co-simulation wrapper is used to validate the internal model. Finally, executable co-simulation model can be generated and simulated. This high level component-based design methodology is tested on VDSL application, resulting in a

6 15-fold reduction in design effort and the design is as good as commercial or handcrafted designs [1]. The second paper by Jorg Henkel also discussed a HW/SW partitioning approach methodology with the focus to minimize power consumption. HW/SW partitioning has exists for many years, but it is mainly used to improve system performance or keep low system cost without considering the power consumption. The architecture of a system that this methodology applies to consists of a µp core, a set of standard core and a set of application specific cores. The methodology tries to partition between µp core and application specific cores in order to minimize power consumption. The motivation for the methodology is that during execution of a program, different hardware resources in the core are used, hence with a different utilization rate. In a operation, those circuit units which are not active still consumes power because they continue to switch so that waste power. So, the obvious solution to this could be to deploy additional cores for particular purpose so that only related cores works while all the others do not. But it would be better to shut down individual resources within each core rather than deploying additional cores as core based techniques are used in the design and we should allow the use of this state-of-art design methodology. The input to the partitioning process is a behavior description of an application that is subject to partitioning between ASIC core and µp core. It follows several steps here. Step 1, a graph from the description is derived which represents operations. Step 2, decomposition of the graph into clusters is performed. The implementation of the cluster on an ASIC core might lead to energy reduction. In step 3, the amount of energy that can be saved in that case is calculated. Step 4 performs a pre-selection of clusters which are promising to give power saving. Due to the architecture for the methodology, additional traffic via the bus structure is caused. Next, in step 5 a loop is started for all sets of resources which is designed by the designer. Then, a list schedule is performed on the current cluster to prepare the following step 9, in which the actual utilization rate of the core is calculated and it is tested whether it can achieve energy saving on an ASIC core or a µp core. If the current cluster can achieve energy saving, then step 10 is activated to roughly estimate how much energy can be saved. Energy is calculated by using the previous utilization rate if it is implemented in ASIC core and dedicated instruction set energy simulation tool is

7 used if in a µp core. The whole portioning process is implemented in an optimization algorithm. The objective function includes the normalized energy power consumption and the hardware cost. In the design process, those clusters that yield a higher utilization rate and that yield the highest core of the objective function are provided to the hardware synthesis flow. The methodology was tested on several cases and high energy savings between 35% to 94% were achieved with also a decrease of execution time of 17% to 35%. Meanwhile, the additional hardware cost is reasonable. SECTION IV: Comparison of the methodologies In Section 2, we discussed several design methodologies for the core based embedded system design. We will try to compare them in term of several metrics even though they are targeted to different objectives. Paper in [1] presents a methodology to improve the design cycle of embedded system based on a new organization of the software part. It is a very efficient methodology and can generate as good designs as commercial tools. But power consumption is not reported in the paper. On the other hand, paper [2] focus on minimizing the power consumption of embedded system by a new HW/SW portioning algorithm. High energy savings were reported in the paper with a little bit higher hardware cost. We believe there are two concerns with the methodology: one is that the long execution time of the design process, especially when considering the RTL level simulation to calculate energy saving, the other one is that it is mainly targeted to DSP-oriented applications.

8 SECTION V: Conclusions This report discusses several design methodologies for embedded systems. In [1], a high level component based design methodology and design environment for application specific multicore SoC architectures, resulting in short design cycle. A low power HW/SW partitioning approach was also discussed to minimize power consumption.

9 REFERENCES: [1] W. Cesario et al, "Component-Based Design Approach for Multicore SoCs'', Design Automation Conference,2001. [2] Jorg Henkel et al, "A Low Power Hardware/Software Partitioning Approach for Core-based Embedded Systems", Design Automation Conference, 1999.

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Optimizing Configuration and Application Mapping for MPSoC Architectures

Optimizing Configuration and Application Mapping for MPSoC Architectures Optimizing Configuration and Application Mapping for MPSoC Architectures École Polytechnique de Montréal, Canada Email : Sebastien.Le-Beux@polymtl.ca 1 Multi-Processor Systems on Chip (MPSoC) Design Trends

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

Networked Embedded Systems: Design Challenges

Networked Embedded Systems: Design Challenges Networked Embedded Systems: Design Challenges Davide Quaglia Electronic Systems Design Group University of Verona 3 a giornata nazionale di Sintesi Logica, Verona, Jun 21, 2007 Outline Motivation Networked

More information

NanopowerCommunications: Enabling the Internet of Things OBJECTS TALK

NanopowerCommunications: Enabling the Internet of Things OBJECTS TALK NanopowerCommunications: Enabling the Internet of Things OBJECTS TALK When objects can both sense the environment and communicate, they become tools for understanding complexity and responding to it swiftly.

More information

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models System Development Models and Methods Dipl.-Inf. Mirko Caspar Version: 10.02.L.r-1.0-100929 Contents HW/SW Codesign Process Design Abstraction and Views Synthesis Control/Data-Flow Models System Synthesis

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Testing Intelligent Device Communications in a Distributed System

Testing Intelligent Device Communications in a Distributed System Testing Intelligent Device Communications in a Distributed System David Goughnour (Triangle MicroWorks), Joe Stevens (Triangle MicroWorks) dgoughnour@trianglemicroworks.com United States Smart Grid systems

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Introduction to System-on-Chip

Introduction to System-on-Chip Introduction to System-on-Chip COE838: Systems-on-Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH WHITE PAPER METRIC-DRIVEN VERIFICATION ENSURES SOFTWARE DEVELOPMENT QUALITY BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH INTRODUCTION The complexity of electronic systems is rapidly

More information

Towards an Ontology-driven Intellectual Properties reuse for Systems On Chip design

Towards an Ontology-driven Intellectual Properties reuse for Systems On Chip design Towards an Ontology-driven Intellectual Properties reuse for Systems On Chip design Fateh Boutekkouk Department of Mathematics and Computer Science University of Oum El Bouaghi, BP 358 Oum El Bouaghi,

More information

Modeling a GPS Receiver Using SystemC

Modeling a GPS Receiver Using SystemC Modeling a GPS Receiver using SystemC Modeling a GPS Receiver Using SystemC Bernhard Niemann Reiner Büttner Martin Speitel http://www.iis.fhg.de http://www.iis.fhg.de/kursbuch/kurse/systemc.html The e

More information

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut.

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut. System-on on-chip Design Flow Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems jouni.tomberg@tut.fi 26.03.2003 Jouni Tomberg / TUT 1 SoC - How and with whom?

More information

GEDAE TM - A Graphical Programming and Autocode Generation Tool for Signal Processor Applications

GEDAE TM - A Graphical Programming and Autocode Generation Tool for Signal Processor Applications GEDAE TM - A Graphical Programming and Autocode Generation Tool for Signal Processor Applications Harris Z. Zebrowitz Lockheed Martin Advanced Technology Laboratories 1 Federal Street Camden, NJ 08102

More information

Introduction to Embedded Systems. Software Update Problem

Introduction to Embedded Systems. Software Update Problem Introduction to Embedded Systems CS/ECE 6780/5780 Al Davis logistics minor Today s topics: more software development issues 1 CS 5780 Software Update Problem Lab machines work let us know if they don t

More information

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng Architectural Level Power Consumption of Network Presenter: YUAN Zheng Why Architectural Low Power Design? High-speed and large volume communication among different parts on a chip Problem: Power consumption

More information

Reconfigurable Architecture Requirements for Co-Designed Virtual Machines

Reconfigurable Architecture Requirements for Co-Designed Virtual Machines Reconfigurable Architecture Requirements for Co-Designed Virtual Machines Kenneth B. Kent University of New Brunswick Faculty of Computer Science Fredericton, New Brunswick, Canada ken@unb.ca Micaela Serra

More information

Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip

Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip Ms Lavanya Thunuguntla 1, Saritha Sapa 2 1 Associate Professor, Department of ECE, HITAM, Telangana

More information

http://d-nb.info/1041302002

http://d-nb.info/1041302002 Contents 1 Introduction 1 1.1 Requirements for Evaluation Techniques 1 1.2 Performance Evaluation Techniques 2 1.2.1 Network Testbeds / Real-World Measurements 2 1.2.2 Network Simulators 3 1.2.3 Analytic

More information

Defining Platform-Based Design. System Definition. Platform Based Design What is it? Platform-Based Design Definitions: Three Perspectives

Defining Platform-Based Design. System Definition. Platform Based Design What is it? Platform-Based Design Definitions: Three Perspectives Based Design What is it? Question: How many definitions of Based Design are there? Defining -Based Design Answer: How many people to you ask? What does the confusion mean? It is a definition in transition

More information

Virtual Platforms Addressing challenges in telecom product development

Virtual Platforms Addressing challenges in telecom product development white paper Virtual Platforms Addressing challenges in telecom product development This page is intentionally left blank. EXECUTIVE SUMMARY Telecom Equipment Manufacturers (TEMs) are currently facing numerous

More information

NIOS II Based Embedded Web Server Development for Networking Applications

NIOS II Based Embedded Web Server Development for Networking Applications NIOS II Based Embedded Web Server Development for Networking Applications 1 Sheetal Bhoyar, 2 Dr. D. V. Padole 1 Research Scholar, G. H. Raisoni College of Engineering, Nagpur, India 2 Professor, G. H.

More information

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers.

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Nowadays, System Engineers are placed in the centre of two antagonist flows: microelectronic systems

More information

Codesign: The World Of Practice

Codesign: The World Of Practice Codesign: The World Of Practice D. Sreenivasa Rao Senior Manager, System Level Integration Group Analog Devices Inc. May 2007 Analog Devices Inc. ADI is focused on high-end signal processing chips and

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

QoS and Communication Performance Management

QoS and Communication Performance Management Using a Real-Time, QoS-based ORB to Intelligently Manage Communications Bandwidth in a Multi-Protocol Environment Bill Beckwith Objective Interface Systems, Inc. OMG Embedded Workshop The Nature of CORBA

More information

High Performance or Cycle Accuracy?

High Performance or Cycle Accuracy? CHIP DESIGN High Performance or Cycle Accuracy? You can have both! Bill Neifert, Carbon Design Systems Rob Kaye, ARM ATC-100 AGENDA Modelling 101 & Programmer s View (PV) Models Cycle Accurate Models Bringing

More information

Multiprocessor System-on-Chip

Multiprocessor System-on-Chip http://www.artistembedded.org/fp6/ ARTIST Workshop at DATE 06 W4: Design Issues in Distributed, CommunicationCentric Systems Modelling Networked Embedded Systems: From MPSoC to Sensor Networks Jan Madsen

More information

Universal Flash Storage: Mobilize Your Data

Universal Flash Storage: Mobilize Your Data White Paper Universal Flash Storage: Mobilize Your Data Executive Summary The explosive growth in portable devices over the past decade continues to challenge manufacturers wishing to add memory to their

More information

Efficient Software Development Platforms for Multimedia Applications at Different Abstraction Levels

Efficient Software Development Platforms for Multimedia Applications at Different Abstraction Levels Efficient Software Development Platforms for Multimedia Applications at Different ion Levels Katalin Popovici 1 Xavier Guerin 1 1 TIMA Laboratory 46 Avenue Felix Viallet F38031, Grenoble, FRANCE {FirstName.LastName@imag.fr}

More information

White Paper. Requirements of Network Virtualization

White Paper. Requirements of Network Virtualization White Paper on Requirements of Network Virtualization INDEX 1. Introduction 2. Architecture of Network Virtualization 3. Requirements for Network virtualization 3.1. Isolation 3.2. Network abstraction

More information

Management and Orchestration of Virtualized Network Functions

Management and Orchestration of Virtualized Network Functions Management and Orchestration of Virtualized Network Functions Elisa Maini Dep. of Electrical Engineering and Information Technology, University of Naples Federico II AIMS 2014, 30 th June 2014 Outline

More information

Switched Interconnect for System-on-a-Chip Designs

Switched Interconnect for System-on-a-Chip Designs witched Interconnect for ystem-on-a-chip Designs Abstract Daniel iklund and Dake Liu Dept. of Physics and Measurement Technology Linköping University -581 83 Linköping {danwi,dake}@ifm.liu.se ith the increased

More information

ASCETiC Whitepaper. Motivation. ASCETiC Toolbox Business Goals. Approach

ASCETiC Whitepaper. Motivation. ASCETiC Toolbox Business Goals. Approach ASCETiC Whitepaper Motivation The increased usage of ICT, together with growing energy costs and the need to reduce greenhouse gases emissions call for energy-efficient technologies that decrease the overall

More information

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com.

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com. White Paper FPGA Prototyping of System-on-Chip Designs The Need for a Complete Prototyping Platform for Any Design Size, Any Design Stage with Enterprise-Wide Access, Anytime, Anywhere S2C Inc. 1735 Technology

More information

Computer Engineering: Incoming MS Student Orientation Requirements & Course Overview

Computer Engineering: Incoming MS Student Orientation Requirements & Course Overview Computer Engineering: Incoming MS Student Orientation Requirements & Course Overview Prof. Charles Zukowski (caz@columbia.edu) Interim Chair, September 3, 2015 MS Requirements: Overview (see bulletin for

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Embedded Systems Engineering Certificate Program

Embedded Systems Engineering Certificate Program Engineering Programs Embedded Systems Engineering Certificate Program Accelerate Your Career extension.uci.edu/embedded University of California, Irvine Extension s professional certificate and specialized

More information

1. PUBLISHABLE SUMMARY

1. PUBLISHABLE SUMMARY 1. PUBLISHABLE SUMMARY ICT-eMuCo (www.emuco.eu) is a European project with a total budget of 4.6M which is supported by the European Union under the Seventh Framework Programme (FP7) for research and technological

More information

Introduction to Exploration and Optimization of Multiprocessor Embedded Architectures based on Networks On-Chip

Introduction to Exploration and Optimization of Multiprocessor Embedded Architectures based on Networks On-Chip Introduction to Exploration and Optimization of Multiprocessor Embedded Architectures based on Networks On-Chip Cristina SILVANO silvano@elet.polimi.it Politecnico di Milano, Milano (Italy) Talk Outline

More information

on-chip and Embedded Software Perspectives and Needs

on-chip and Embedded Software Perspectives and Needs Systems-on on-chip and Embedded Software - Perspectives and Needs Miguel Santana Central R&D, STMicroelectronics STMicroelectronics Outline Current trends for SoCs Consequences and challenges Needs: Tackling

More information

So#ware Tools and Techniques for HPC, Clouds, and Server- Class SoCs Ron Brightwell

So#ware Tools and Techniques for HPC, Clouds, and Server- Class SoCs Ron Brightwell So#ware Tools and Techniques for HPC, Clouds, and Server- Class SoCs Ron Brightwell R&D Manager, Scalable System So#ware Department Sandia National Laboratories is a multi-program laboratory managed and

More information

Microelectronic System-on-Chip Modeling using Objects and their Relationships

Microelectronic System-on-Chip Modeling using Objects and their Relationships Microelectronic System-on-Chip Modeling using Objects and their Relationships Frederic Doucet, Rajesh K. Gupta {doucet, rgupta}@ics.uci.edu Center for Embedded Computer Systems University of California

More information

Software Stacks for Mixed-critical Applications: Consolidating IEEE 802.1 AVB and Time-triggered Ethernet in Next-generation Automotive Electronics

Software Stacks for Mixed-critical Applications: Consolidating IEEE 802.1 AVB and Time-triggered Ethernet in Next-generation Automotive Electronics Software : Consolidating IEEE 802.1 AVB and Time-triggered Ethernet in Next-generation Automotive Electronics Soeren Rumpf Till Steinbach Franz Korf Thomas C. Schmidt till.steinbach@haw-hamburg.de September

More information

Customer Experience. Silicon. Support & Professional Eng. Services. Freescale Provided SW & Solutions

Customer Experience. Silicon. Support & Professional Eng. Services. Freescale Provided SW & Solutions September 2013 Silicon Support & Professional Eng. Services Customer Experience Freescale Provided SW & Solutions Provide Valued Software, Support & Professional Engineering Services, Competitively 2 Customer

More information

FACULTY OF POSTGRADUATESTUDIES Master of Science in Computer Engineering The Future University

FACULTY OF POSTGRADUATESTUDIES Master of Science in Computer Engineering The Future University FACULTY OF POSTGRADUATESTUDIES Master of Science in Computer Engineering The Future University 2 Table of Contents: Page I. Introduction 1 II. Philosophy of the Program 2 III. Aims of the Program 2 IV.

More information

System-On Chip Modeling and Design A case study on MP3 Decoder

System-On Chip Modeling and Design A case study on MP3 Decoder System-On Chip Modeling and Design A case study on MP3 Decoder Pramod Chandraiah, Hans Gunar Schirner, Nirupama Srinivas and Rainer Doemer CECS Technical Report 04-17 June 21, 2004 Center for Embedded

More information

SOAP Based Distributed Simulation Environment for System-on-Chip (SoC) Design

SOAP Based Distributed Simulation Environment for System-on-Chip (SoC) Design SOAP Based Distributed Simulation Environment for System-on-Chip (SoC) Design Samy Meftali Anouar Dziri Luc Charest Philipe Marquet Jean-Luc Dekeyser Laboratoire d Informatique Fondamentale de Lille Université

More information

Optimizing Shared Resource Contention in HPC Clusters

Optimizing Shared Resource Contention in HPC Clusters Optimizing Shared Resource Contention in HPC Clusters Sergey Blagodurov Simon Fraser University Alexandra Fedorova Simon Fraser University Abstract Contention for shared resources in HPC clusters occurs

More information

Network connectivity controllers

Network connectivity controllers Network connectivity controllers High performance connectivity solutions Factory Automation The hostile environment of many factories can have a significant impact on the life expectancy of PCs, and industrially

More information

USTC Course for students entering Clemson F2013 Equivalent Clemson Course Counts for Clemson MS Core Area. CPSC 822 Case Study in Operating Systems

USTC Course for students entering Clemson F2013 Equivalent Clemson Course Counts for Clemson MS Core Area. CPSC 822 Case Study in Operating Systems USTC Course for students entering Clemson F2013 Equivalent Clemson Course Counts for Clemson MS Core Area 398 / SE05117 Advanced Cover software lifecycle: waterfall model, V model, spiral model, RUP and

More information

Platform-based Design

Platform-based Design Platform-based Design Alberto Sangiovanni Vincentelli The Edgar L. and Harold H. Buttner Chair of EECS University of California at Berkeley Abstract. Platform-based design is a powerful concept for coping

More information

What is SDN (Software Defined Networking) and Openflow? SDN/OF Part of Kernel / SoC to provide security, steering & monitoring

What is SDN (Software Defined Networking) and Openflow? SDN/OF Part of Kernel / SoC to provide security, steering & monitoring What is SDN (Software Defined Networking) and Openflow? Control and Data Plane Separation Control SDN/OF Part of Kernel / SoC to provide security, steering & monitoring External Use 1 Software Defined

More information

OPTIMIZE DMA CONFIGURATION IN ENCRYPTION USE CASE. Guillène Ribière, CEO, System Architect

OPTIMIZE DMA CONFIGURATION IN ENCRYPTION USE CASE. Guillène Ribière, CEO, System Architect OPTIMIZE DMA CONFIGURATION IN ENCRYPTION USE CASE Guillène Ribière, CEO, System Architect Problem Statement Low Performances on Hardware Accelerated Encryption: Max Measured 10MBps Expectations: 90 MBps

More information

Erlang, Open Networking, and the Future of Computing. Stu Bailey, Founder/CTO

Erlang, Open Networking, and the Future of Computing. Stu Bailey, Founder/CTO Erlang, Open Networking, and the Future of Computing Stu Bailey, Founder/CTO What is the Business View of the Network? Traditional corporate network Business accountable network 2 2014 Infoblox Inc. All

More information

ASON for Optical Networks

ASON for Optical Networks 1/287 01-FGC1010609 Rev B ASON for Optical Networks Ericsson Control Plane for DWDM Optically Switched Networks ASON for MHL3000 Introduction The growing demand for multiple service is changing the network

More information

Networking Virtualization Using FPGAs

Networking Virtualization Using FPGAs Networking Virtualization Using FPGAs Russell Tessier, Deepak Unnikrishnan, Dong Yin, and Lixin Gao Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Massachusetts,

More information

Computer and Set of Robots

Computer and Set of Robots Lesson 11:DESIGN PROCESS EXAMPLES Mobile-Phone, Mobile- Computer and Set of Robots 1 Mobile Phone 2 Mobile phone SoC (System-on-Chip) Hardware units Microcontroller or ASIP (Application Specific Instruction

More information

Networking Remote-Controlled Moving Image Monitoring System

Networking Remote-Controlled Moving Image Monitoring System Networking Remote-Controlled Moving Image Monitoring System First Prize Networking Remote-Controlled Moving Image Monitoring System Institution: Participants: Instructor: National Chung Hsing University

More information

Customer Specific Wireless Network Solutions Based on Standard IEEE 802.15.4

Customer Specific Wireless Network Solutions Based on Standard IEEE 802.15.4 Customer Specific Wireless Network Solutions Based on Standard IEEE 802.15.4 Michael Binhack, sentec Elektronik GmbH, Werner-von-Siemens-Str. 6, 98693 Ilmenau, Germany Gerald Kupris, Freescale Semiconductor

More information

Outline. Introduction. Multiprocessor Systems on Chip. A MPSoC Example: Nexperia DVP. A New Paradigm: Network on Chip

Outline. Introduction. Multiprocessor Systems on Chip. A MPSoC Example: Nexperia DVP. A New Paradigm: Network on Chip Outline Modeling, simulation and optimization of Multi-Processor SoCs (MPSoCs) Università of Verona Dipartimento di Informatica MPSoCs: Multi-Processor Systems on Chip A simulation platform for a MPSoC

More information

SPEED-POWER EXPLORATION OF 2-D INTELLIGENCE NETWORK- ON-CHIP FOR MULTI-CLOCK MULTI-MICROCONTROLLER ON 28nm FPGA (Zynq-7000) DESIGN

SPEED-POWER EXPLORATION OF 2-D INTELLIGENCE NETWORK- ON-CHIP FOR MULTI-CLOCK MULTI-MICROCONTROLLER ON 28nm FPGA (Zynq-7000) DESIGN SPEED-POWER EXPLORATION OF 2-D INTELLIGENCE NETWORK- ON-CHIP FOR MULTI-CLOCK MULTI-MICROCONTROLLER ON 28nm FPGA (Zynq-7000) DESIGN Anoop Kumar Vishwakarma 1, Uday Arun 2 1 Student (M.Tech.), ECE, ABES

More information

System-on-Chip Design Verification: Challenges and State-of-the-art

System-on-Chip Design Verification: Challenges and State-of-the-art System-on-Chip Design Verification: Challenges and State-of-the-art Prof. Sofiène Tahar Hardware Verification Group Concordia University Montréal, QC, CANADA MCSOC 12 Aizu-Wakamatsu, Fukushima, Japan September

More information

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler DAC 2008 Philip Watson Philip Watson Implementation Environment Program Manager ARM Ltd Background - Who Are We? Processor

More information

10 Gigabit Ethernet: Scaling across LAN, MAN, WAN

10 Gigabit Ethernet: Scaling across LAN, MAN, WAN Arasan Chip Systems Inc. White Paper 10 Gigabit Ethernet: Scaling across LAN, MAN, WAN By Dennis McCarty March 2011 Overview Ethernet is one of the few protocols that has increased its bandwidth, while

More information

Reducing Configuration Complexity with Next Gen IoT Networks

Reducing Configuration Complexity with Next Gen IoT Networks Reducing Configuration Complexity with Next Gen IoT Networks Orama Inc. November, 2015 1 Network Lighting Controls Low Penetration - Why? Commissioning is very time-consuming & expensive Network configuration

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

Chapter 02: Computer Organization. Lesson 04: Functional units and components in a computer organization Part 3 Bus Structures

Chapter 02: Computer Organization. Lesson 04: Functional units and components in a computer organization Part 3 Bus Structures Chapter 02: Computer Organization Lesson 04: Functional units and components in a computer organization Part 3 Bus Structures Objective: Understand the IO Subsystem and Understand Bus Structures Understand

More information

Platform-Based Design and the First Generation Dilemma Jiang Xu and Wayne Wolf

Platform-Based Design and the First Generation Dilemma Jiang Xu and Wayne Wolf Platform-Based Design and the First Generation Dilemma Jiang Xu and Wayne Wolf Dept. of ELE, Princeton University Jiangxu, Wolf@ee.Princeton.edu Abstract In this paper, we analyze system-level design methodologies

More information

Performance Prediction, Sizing and Capacity Planning for Distributed E-Commerce Applications

Performance Prediction, Sizing and Capacity Planning for Distributed E-Commerce Applications Performance Prediction, Sizing and Capacity Planning for Distributed E-Commerce Applications by Samuel D. Kounev (skounev@ito.tu-darmstadt.de) Information Technology Transfer Office Abstract Modern e-commerce

More information

Offline HW/SW Authentication for Reconfigurable Platforms

Offline HW/SW Authentication for Reconfigurable Platforms Offline HW/SW Authentication for Reconfigurable Platforms Eric Simpson Virginia Tech esimpson@vt.edu Patrick Schaumont Virginia Tech schaum@vt.edu Abstract Many Field-Programmable Gate Array (FPGA) based

More information

Hardware Resource Allocation for Hardware/Software Partitioning in the LYCOS System

Hardware Resource Allocation for Hardware/Software Partitioning in the LYCOS System Hardware Resource Allocation for Hardware/Software Partitioning in the LYCOS System Jesper Grode, Peter V. Knudsen and Jan Madsen Department of Information Technology Technical University of Denmark Email:

More information

Analysis of Open Source Drivers for IEEE 802.11 WLANs

Analysis of Open Source Drivers for IEEE 802.11 WLANs Preprint of an article that appeared in IEEE conference proceeding of ICWCSC 2010 Analysis of Open Source Drivers for IEEE 802.11 WLANs Vipin M AU-KBC Research Centre MIT campus of Anna University Chennai,

More information

Software Development for Multiple OEMs Using Tool Configured Middleware for CAN Communication

Software Development for Multiple OEMs Using Tool Configured Middleware for CAN Communication 01PC-422 Software Development for Multiple OEMs Using Tool Configured Middleware for CAN Communication Pascal Jost IAS, University of Stuttgart, Germany Stephan Hoffmann Vector CANtech Inc., USA Copyright

More information

HARDWARE IMPLEMENTATION OF TASK MANAGEMENT IN EMBEDDED REAL-TIME OPERATING SYSTEMS

HARDWARE IMPLEMENTATION OF TASK MANAGEMENT IN EMBEDDED REAL-TIME OPERATING SYSTEMS HARDWARE IMPLEMENTATION OF TASK MANAGEMENT IN EMBEDDED REAL-TIME OPERATING SYSTEMS 1 SHI-HAI ZHU 1Department of Computer and Information Engineering, Zhejiang Water Conservancy and Hydropower College Hangzhou,

More information

Chapter 1 Computer System Overview

Chapter 1 Computer System Overview Operating Systems: Internals and Design Principles Chapter 1 Computer System Overview Eighth Edition By William Stallings Operating System Exploits the hardware resources of one or more processors Provides

More information

Certification Authorities Software Team (CAST) Position Paper CAST-13

Certification Authorities Software Team (CAST) Position Paper CAST-13 Certification Authorities Software Team (CAST) Position Paper CAST-13 Automatic Code Generation Tools Development Assurance Completed June 2002 NOTE: This position paper has been coordinated among the

More information

Design of Remote data acquisition system based on Internet of Things

Design of Remote data acquisition system based on Internet of Things , pp.32-36 http://dx.doi.org/10.14257/astl.214.79.07 Design of Remote data acquisition system based on Internet of Things NIU Ling Zhou Kou Normal University, Zhoukou 466001,China; Niuling@zknu.edu.cn

More information

Run-Time Scheduling Support for Hybrid CPU/FPGA SoCs

Run-Time Scheduling Support for Hybrid CPU/FPGA SoCs Run-Time Scheduling Support for Hybrid CPU/FPGA SoCs Jason Agron jagron@ittc.ku.edu Acknowledgements I would like to thank Dr. Andrews, Dr. Alexander, and Dr. Sass for assistance and advice in both research

More information

Overcoming the Gap between Design at Electronic System Level (ESL) and Implementation for Networked Electronics

Overcoming the Gap between Design at Electronic System Level (ESL) and Implementation for Networked Electronics Overcoming the Gap between Design at Electronic System Level (ESL) and Implementation for Networked Electronics Tommy Baumann, Maik Hauguth, Horst Salzwedel Technical University of Ilmenau, Dept. of Computer

More information

Implementation of Wireless Gateway for Smart Home

Implementation of Wireless Gateway for Smart Home Communications and Network, 2013, 5, 16-20 doi:10.4236/cn.2013.51b005 Published Online February 2013 (http://www.scirp.org/journal/cn) Implementation of Wireless Gateway for Smart Home Yepeng Ni 1, Fang

More information

Introduction to the NI Real-Time Hypervisor

Introduction to the NI Real-Time Hypervisor Introduction to the NI Real-Time Hypervisor 1 Agenda 1) NI Real-Time Hypervisor overview 2) Basics of virtualization technology 3) Configuring and using Real-Time Hypervisor systems 4) Performance and

More information

System level design space exploration for multiprocessor system on chip

System level design space exploration for multiprocessor system on chip IEEE Computer Society Annual Symposium on VLSI System level design space exploration for multiprocessor system on chip Issam Maalej, Guy Gogniat, Jean Luc Philippe European University of Brittany - UBS

More information

Extending the Internet of Things to IPv6 with Software Defined Networking

Extending the Internet of Things to IPv6 with Software Defined Networking Extending the Internet of Things to IPv6 with Software Defined Networking Abstract [WHITE PAPER] Pedro Martinez-Julia, Antonio F. Skarmeta {pedromj,skarmeta}@um.es The flexibility and general programmability

More information

A Generic Network Interface Architecture for a Networked Processor Array (NePA)

A Generic Network Interface Architecture for a Networked Processor Array (NePA) A Generic Network Interface Architecture for a Networked Processor Array (NePA) Seung Eun Lee, Jun Ho Bahn, Yoon Seok Yang, and Nader Bagherzadeh EECS @ University of California, Irvine Outline Introduction

More information

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Simulink targeting ASIC/FGPA. Previously Worked as logic

More information

SoC Design Lecture 12: MPSoC Multi-Processor System-on-Chip. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 12: MPSoC Multi-Processor System-on-Chip. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology SoC Design Lecture 12: MPSoC Multi-Processor System-on-Chip Shaahin Hessabi Department of Computer Engineering Sharif University of Technology The Premises The System-on-Chip (SoC) today Heterogeneous

More information

1. Introduction. 2. Saving Overview

1. Introduction. 2. Saving Overview CAPEX Savings with Antenna Tilt-Based Load Balancing SON Abstract The principal benefits of Self-Optimizing Networks (SON) are reduced OPEX and CAPEX by both minimizing human involvement in network operation

More information

White Paper. Recording Server Virtualization

White Paper. Recording Server Virtualization White Paper Recording Server Virtualization Prepared by: Mike Sherwood, Senior Solutions Engineer Milestone Systems 23 March 2011 Table of Contents Introduction... 3 Target audience and white paper purpose...

More information

Trends in Embedded Software Development in Europe. Dr. Dirk Muthig dirk.muthig@iese.fraunhofer.de

Trends in Embedded Software Development in Europe. Dr. Dirk Muthig dirk.muthig@iese.fraunhofer.de Trends in Embedded Software Development in Europe Dr. Dirk Muthig dirk.muthig@iese.fraunhofer.de Problems A software project exceeds the budget by 90% and the project time by 120% in average Project Management

More information

Chapter 13 Selected Storage Systems and Interface

Chapter 13 Selected Storage Systems and Interface Chapter 13 Selected Storage Systems and Interface Chapter 13 Objectives Appreciate the role of enterprise storage as a distinct architectural entity. Expand upon basic I/O concepts to include storage protocols.

More information

Fieldbus Protocol For Secured Wireless Sensor Network Communication in Process Automation

Fieldbus Protocol For Secured Wireless Sensor Network Communication in Process Automation Fieldbus Protocol For Secured Wireless Sensor Network Communication in Process Automation 92 Dr.S.Udayakumar* and S.Ananthi** *National Institute of Technical Teacher s Training and Research (NITTT&R),

More information

Achieving Nanosecond Latency Between Applications with IPC Shared Memory Messaging

Achieving Nanosecond Latency Between Applications with IPC Shared Memory Messaging Achieving Nanosecond Latency Between Applications with IPC Shared Memory Messaging In some markets and scenarios where competitive advantage is all about speed, speed is measured in micro- and even nano-seconds.

More information

Virtual Platforms in System-on-Chip Design

Virtual Platforms in System-on-Chip Design Virtual Platforms in System-on-Chip Design Katalin Popovici 1 and Ahmed A. Jerraya 2 1 The MathWorks, Inc., Natick, MA, USA 2 CEA-LETI, Grenoble, France Notice of Copyright This material is protected under

More information

Hardware Virtualization for Pre-Silicon Software Development in Automotive Electronics

Hardware Virtualization for Pre-Silicon Software Development in Automotive Electronics Hardware Virtualization for Pre-Silicon Software Development in Automotive Electronics Frank Schirrmeister, Filip Thoen fschirr@synopsys.com Synopsys, Inc. Market Trends & Challenges Growing electronics

More information

TLM-2.0 in Action: An Example-based Approach to Transaction-level Modeling and the New World of Model Interoperability

TLM-2.0 in Action: An Example-based Approach to Transaction-level Modeling and the New World of Model Interoperability DVCon 2009 TLM-2.0 in Action: An Example-based Approach to Transaction-level Modeling and the New World of Model Interoperability John Aynsley, Doulos TLM Introduction CONTENTS What is TLM and SystemC?

More information

M.Sc. IT Semester III VIRTUALIZATION QUESTION BANK 2014 2015 Unit 1 1. What is virtualization? Explain the five stage virtualization process. 2.

M.Sc. IT Semester III VIRTUALIZATION QUESTION BANK 2014 2015 Unit 1 1. What is virtualization? Explain the five stage virtualization process. 2. M.Sc. IT Semester III VIRTUALIZATION QUESTION BANK 2014 2015 Unit 1 1. What is virtualization? Explain the five stage virtualization process. 2. What are the different types of virtualization? Explain

More information

System Interconnect Architectures. Goals and Analysis. Network Properties and Routing. Terminology - 2. Terminology - 1

System Interconnect Architectures. Goals and Analysis. Network Properties and Routing. Terminology - 2. Terminology - 1 System Interconnect Architectures CSCI 8150 Advanced Computer Architecture Hwang, Chapter 2 Program and Network Properties 2.4 System Interconnect Architectures Direct networks for static connections Indirect

More information