COE608: Computer Organization and Architecture

Similar documents
Digital Design with VHDL

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies

CHAPTER IX REGISTER BLOCKS COUNTERS, SHIFT, AND ROTATE REGISTERS

ECE 3401 Lecture 7. Concurrent Statements & Sequential Statements (Process)

Finite State Machine. RTL Hardware Design by P. Chu. Chapter 10 1

Module 3: Floyd, Digital Fundamental

Chapter 7. Registers & Register Transfers. J.J. Shann. J. J. Shann

12. A B C A B C A B C 1 A B C A B C A B C JK-FF NETr

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: ext: Sequential Circuit

ECE232: Hardware Organization and Design. Part 3: Verilog Tutorial. Basic Verilog

Chapter 4 Register Transfer and Microoperations. Section 4.1 Register Transfer Language

DIGITAL COUNTERS. Q B Q A = 00 initially. Q B Q A = 01 after the first clock pulse.

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng

Flip-Flops and Sequential Circuit Design. ECE 152A Winter 2012

Flip-Flops and Sequential Circuit Design

FINITE STATE MACHINE: PRINCIPLE AND PRACTICE

Systems I: Computer Organization and Architecture

Counters are sequential circuits which "count" through a specific state sequence.

To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC.

Design Example: Counters. Design Example: Counters. 3-Bit Binary Counter. 3-Bit Binary Counter. Other useful counters:

Sequential Logic. (Materials taken from: Principles of Computer Hardware by Alan Clements )

Digital Electronics Part I Combinational and Sequential Logic. Dr. I. J. Wassell

Finite State Machine Design and VHDL Coding Techniques

WEEK 8.1 Registers and Counters. ECE124 Digital Circuits and Systems Page 1

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa

BINARY CODED DECIMAL: B.C.D.

Digital Logic Design Sequential circuits

Chapter 5. Sequential Logic

VHDL GUIDELINES FOR SYNTHESIS

Multipliers. Introduction

CpE358/CS381. Switching Theory and Logical Design. Class 10

CHAPTER 3 Boolean Algebra and Digital Logic

Combinational Logic Design Process

DIGITAL ELECTRONICS. Counters. By: Electrical Engineering Department

Registers & Counters

RAM & ROM Based Digital Design. ECE 152A Winter 2012

Lecture 8: Synchronous Digital Systems

More Verilog. 8-bit Register with Synchronous Reset. Shift Register Example. N-bit Register with Asynchronous Reset.

Contents COUNTER. Unit III- Counters

IE1204 Digital Design F12: Asynchronous Sequential Circuits (Part 1)

DEPARTMENT OF INFORMATION TECHNLOGY

Step : Create Dependency Graph for Data Path Step b: 8-way Addition? So, the data operations are: 8 multiplications one 8-way addition Balanced binary

Asynchronous Counters. Asynchronous Counters

Flip-Flops, Registers, Counters, and a Simple Processor

if-then else : 2-1 mux mux: process (A, B, Select) begin if (select= 1 ) then Z <= A; else Z <= B; end if; end process;

CDA 3200 Digital Systems. Instructor: Dr. Janusz Zalewski Developed by: Dr. Dahai Guo Spring 2012

VHDL Test Bench Tutorial

DIGITAL TECHNICS II. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute. 2nd (Spring) term 2012/2013

Digital Design with Synthesizable VHDL

A New Paradigm for Synchronous State Machine Design in Verilog

Memory Elements. Combinational logic cannot remember

Lenguaje VHDL. Diseño de sistemas digitales secuenciales

Counters & Shift Registers Chapter 8 of R.P Jain

Asynchronous counters, except for the first block, work independently from a system clock.

Computer organization

3.Basic Gate Combinations

Take-Home Exercise. z y x. Erik Jonsson School of Engineering and Computer Science. The University of Texas at Dallas

ENEE 244 (01**). Spring Homework 5. Due back in class on Friday, April 28.

NOT COPY DO NOT COPY DO NOT COPY DO NOT COPY DO NOT COPY DO NOT COPY

BASIC COMPUTER ORGANIZATION AND DESIGN

2 n. (finite state machines).

CNC FOR EDM MACHINE TOOL HARDWARE STRUCTURE. Ioan Lemeni

Digital Electronics Detailed Outline

Design Verification & Testing Design for Testability and Scan

Chapter 8. Sequential Circuits for Registers and Counters

State Machines in VHDL

Cascaded Counters. Page 1 BYU

(1) D Flip-Flop with Asynchronous Reset. (2) 4:1 Multiplexor. CS/EE120A VHDL Lab Programming Reference

Latches, the D Flip-Flop & Counter Design. ECE 152A Winter 2012

Microprocessor & Assembly Language

A single register, called the accumulator, stores the. operand before the operation, and stores the result. Add y # add y from memory to the acc

CHAPTER 11 LATCHES AND FLIP-FLOPS

Counters and Decoders

Having read this workbook you should be able to: recognise the arrangement of NAND gates used to form an S-R flip-flop.

Modeling Latches and Flip-flops

ECE380 Digital Logic

COMBINATIONAL CIRCUITS

FPGA. AT6000 FPGAs. Application Note AT6000 FPGAs. 3x3 Convolver with Run-Time Reconfigurable Vector Multiplier in Atmel AT6000 FPGAs.

An Example VHDL Application for the TM-4

VGA video signal generation

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER

INTRODUCTION TO DIGITAL SYSTEMS. IMPLEMENTATION: MODULES (ICs) AND NETWORKS IMPLEMENTATION OF ALGORITHMS IN HARDWARE

Napier University. School of Engineering. Electronic Engineering A Module: SE42205 Digital Design

Designing Digital Circuits a modern approach. Jonathan Turner

Sequential Logic Design Principles.Latches and Flip-Flops

Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

CSE2102 Digital Design II - Topics CSE Digital Design II

LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters

Digital Design. Assoc. Prof. Dr. Berna Örs Yalçın

Gray Code Generator and Decoder by Carsten Kristiansen Napier University. November 2004

DIGITAL TECHNICS II. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute 5. LECTURE: REGISTERS AND RELATED

The 104 Duke_ACC Machine

CS311 Lecture: Sequential Circuits

A s we saw in Chapter 4, a CPU contains three main sections: the register section,

Theory of Logic Circuits. Laboratory manual. Exercise 3

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology

Systems I: Computer Organization and Architecture

Transcription:

ASM Chart: Multiplier Control COE68: Computer Organization and Architecture Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University Overview Types of Sequential Circuits Mealy and Moore Machine Models Sequence Detector Implementations Algorithmic State Machines: Introduction Realization of ASM Control Unit Design of the Multiplier Hardwired Control Sequence Register and Decoder Method One Flip-Flop per State Method Part of Chapter 8, section 8.3-8.5 of Text by Mano and Kime G.Khan Computer Organization & Architecture-COE68: ASM and Control Page:

Sequential Logic Circuits Primary input variables { (x... x n ) Present state { variables (y... y r ) Combinational Logic Clock } Output variables (z... z m ) } N ext-state variables (Y... Y r ) Memory Devices Inputs Primary Inputs State variables Outputs Output variables Next state variables. Synchronous Sequential Circuits Clock is used to ensure occurrence of event (change of state) at a specified instant of time. Asynchronous Sequential Circuits G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 2

Sequential Machine Models Main Models of Sequential Circuits or Machines are: Mealy and Moore Model Mealy Machines: Their outputs depend on both the present state and the present inputs. Inputs (x) Comb. Logic Network Next State Outputs (Z) State Reg. Present State Clock Moore Machines: The outputs depend on the present state only. Inputs (x) Comb. Logic Network Next State Clock State Reg. Outputs (Z) Present State G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 3

Sequential Machine Models Mealy Model Moore Model AB/S AB / / / Y / / X / / / Z Y/ X/ Z/ / / / / PS NS PS NS Output AB AB S x x/ z/ x/ y/ x x z x y y x/ y/ x/ y/ y x y x y z x/ z/ x/ z/ z x z x z Mealy State Table Moore State Table G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 4

Sequential Machine Models Mealy Model Timing Diagram CLK Present State X Y X Z X X Input A Input B Output S Moore Model Timing Diagram CLK Present State X Y X Z X X Input A Input B Output S G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 5

Sequential Machine Models Main Features: Moore machine realization is more complex than Mealy due to additional state requirements to derive the required outputs. Outputs of a Moore machine are generally robust and independent of external (primary) inputs. Mealy model is useful for applications where faster respond is needed. In the case of Mealy machines, all unspecified states must end up in a specified state after the next or consecutive clock cycle. Otherwise, oscillation/hang-up may occur for certain input combinations. G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 6

Finite State Machine Example Problem Statement: The occurrence of sequence of pairs of inputs is to be detected. The machine will output logic after detecting the sequence successfully. The Mealy Model: State transitions are labeled with inputs and the output values. / / / / / / / / 2 / / / / / / 3 / / 4 G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 7

State Assignment The occurrence of sequence of pairs of inputs is to be detected. Mealy Machine Design Approach State-Transition Table Present Inputs X, X2 state Next state Output z 2 3 4 2 3 3 2 4 State Assignment: Assign binary codes to the states. =, 2 =, 3 = and 4 = Present Inputs x x 2 State Next State, w w 2 Output z y y 2 G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 8

State Assignment Any state assignment is satisfactory as long as each state is assigned a unique binary code. However, one particular assignment may be optimal that requires least number of gates. Guidelines for State Assignment States having the same NEXT STATES for a given input condition should be given adjacent assignments. States, which are NEXT STATES of a singlestate, should be given adjacent assignments. States, which have identical output specification, should be given adjacent assignments. Overall Minimize the number of Sate Variable changes as you move through the state diagram. G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 9

Mealy Machine Design Using D-type FFs x x 2 y y 2 x x 2 y y 2 w + = xx2y2 xx2yy2 w 2 = xx 2 x x 2 y y 2 BY INSPECTION OUTPUT z = x x 2 y y 2 G.Khan Computer Organization & Architecture-COE68: ASM and Control Page:

Mealy Machine Design Sequential Circuit x x2 x W 2 Q y 2 W Q y z x2 Q Clock Timing Diagram CLK Present State 2 3 4 Input x Input x 2 Output z G.Khan Computer Organization & Architecture-COE68: ASM and Control Page:

Moore Machine Implementation The Moore Model: Suitable for asynchronous sequential systems. Transitions are labeled with the inputs only. State Diagram / 2/,, 5/ 3/ 4/ G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 2

Moore Machine Example State-transition Table Present State Next State 2 3 4 5 xy 2 3 3 4 2 5 2 Output z State Assignment Present State Next state (A + B + C + ) Output ABC XY z Using D-type FFs G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 3

B + XY BC Moore Machine Design A = A = X x x x X x B x x x x x x x x C Y Y + B = Cxy + BCxy + ABCxy C + XY BC A = A = X X x x x x B x x x x x x x x C + C = xy Y Y G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 4

Moore Machine Design Sequential Circuit D A Q A Z Q A D B Q B X Y D C Q B Q C Timing Diagram clock Q C CLK Present State ABC () () 2() 3() 4() 5() () Input x Input y Output z G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 5

Algorithmic State Machine (ASM) Flow Charts are mostly used for software design. They are also useful for digital system design. The ASM or State Machine charts offer several advantages over state diagrams. Main Features of ASM Charts Operation of a digital system represented by an ASM chart is easier to understand. An ASM chart can be converted into several equivalent forms and each form leads directly to a hardware realization. The conditions for a proper state diagram are completely satisfied by the ASM chart. ASM chart based digital system design is equivalent to software design. G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 6

A Typical ASM Chart ASM Charts S/Za X S/Zb X S2/Zc X Z Z2 G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 7

ASM Charts The state diagram/table based design approach becomes impractical for systems with large number of inputs. The number of columns for the state table doubles with every additional input. All the inputs are not relevant at each clock pulse/transition (don't care conditions). On the other hand, ASM approach only shows the active inputs on the chart. State diagrams are not suitable for gradual refinement of FSM. Typical State Table Present State Next state (A + B + C + ) Output ABC XY z G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 8

ASM Charts Basic Elements of an ASM chart are: State Box It represents one state of the ASM. The sequential machine resides in a state box for one state time (one clock cycle). It consists of a state name, state assignment code and state output (Moore). State box has a single exit/entry point unlike to a state node in state diagram. Entry Exit Path G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 9

ASM Charts Decision Box The decision box takes machine inputs. It contains Boolean variables to be tested and gives conditions that control or qualify conditional state transition and outputs. Single entry path and two exit paths define the condition for true or false exit. input Conditional Output Box It describes those outputs that only become active on true conditions. It is always connected to the exit-path of a decision box. G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 2

ASM Charts ASM charts are equivalent to state diagrams: Sate Box State diagram node Decision Box Input values on the state transition lines. Outputs in the State Box Output values in the state nodes. (Moore Machine) Outputs in Conditional Output Box Output values on the state transition lines. (Mealy Machine) ASM Block ASM charts are constructed from ASM Blocks An ASM block consists of: Exactly one state box. Decision and conditional output boxes associated with the state. One entry path and one or more exit paths. A pure combinational circuit can be described by one ASM block. An ASM block describes the machine operation during the time that the machine is in that state. G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 2

ASM Block When a digital system enters the state associated with an ASM block: Outputs on the output list of the state box become true. The conditions in the decision boxes are evaluated to determine which path(s) are to be followed. When a conditional output box is encountered along such a path, the corresponding conditional outputs become true. If an output is not encountered along a path that output is assigned a FALSE (by default). Each exit path of an ASM block must lead to another state. Each possible path through an ASM block from entrance to exit is termed as link path. Entry path S x x2 Z2 Z S2 many exit paths S3 S4 G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 22

ASM Block An ASM Block can be drawn in several ways. S/Z X Z2 X2 S2 S3 X S/Z X2 X Z2 Z2 S2 S3 G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 23

ASM Block Rules to Construct an ASM Block For every valid combination of input variables, there must be one exit path. No internal feedback within an ASM block is allowed. S/ S/ X X An ASM block can have several parallel paths that lead to the same exit path and more than one of these paths can be active at the same time. S/Z X X2 X3 Z Z2 Z3 G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 24

ASM Chart An ASM chart consists of one or more ASM blocks connected in a consistent manner. In the case of autonomous sequential circuits ASM chart will consist of state boxes connected by direct transition link paths. The ASM chart of a JK Flip-Flop zero out Q J one out K G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 25

ASM Chart From State Diagram to ASM Chart X is an input Za, Zb & Zc are Moore Outputs Z & Z2 are Mealy Outputs / S/Za / / /Z / S/Zb S2/Zc /Z2 G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 26

Realization of ASM Chart Main Steps For each state variable (e.g. Qa, Qb, etc.), identify all states in which Q = For each of these states, find all the linkpaths that lead into the state. For each of these link paths, find a product-term that is logic- when the link-path is followed. e.g. For a link path from state Si to Sj, the product term will be if the machine is in state Si and the conditions for Sj entry are satisfied. The expression for Q+ is formed by ORing all the product-terms found for a particular state variable as above. G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 27

Realization from ASM Chart Example S/Za X S/Zb X S2/Zc X Z Z2 G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 28

Realization from ASM Chart Working Example For Next State: Consider Variable B Link-paths for States that has B = are S and S2 states. Link-Path- Starting with a present state AB =, takes the X= branch and terminates at state S during which B =. Link-Path-2 Starting state, takes X= branch & ends at state. Link-Path-3 Starting at state, takes X= branch and ends in state. Overall B+ = A'B'X + A'BX + ABX For Next State: Consider State Variable A. Two link paths terminate at S2 state Moore Outputs Za = A'B'; Zb = A'B; Zc = AB Conditional Output G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 29

Hand Multiplication: Binary Multipliers Multiplicand * 3 Multiplier 43 Modified (serial) Multiplication Initial contents of product register * 3 M bit=, add multiplicand Partial product before shift Partial product after shift Multiplier bit=, add multiplicand Partial product before shift Partial product after shift Multiplier bit= skip addition Partial product after shift Multiplier bit=, add multiplicand Partial product before shift After shift (Final answer) Final Result = G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 3

Multiplier Block Diagram n- IN n Multiplicand Counter-P Register-B log 2 n n G(Go) Zero Detect Control Unit Z Q C Cout Parallel Adder n Shift Register-A n Multiplier Shift Register-Q n ACC: Product Out Control signals G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 3

Binary Multipliers The multiplication of two binary numbers is performed by successive additions and shifting. B Multiplicand; Q Multiplier Partial product is formed in A and stored in A & Q. Multiplier Circuit Operation Q is an n-bit shift register where multiplier is loaded that is shifted right. It vacates -bit space every time. This space accepts the lower part of the partial product. An n-bit parallel adder produces Sums as A A + B C flip-flop stores the carry from addition. It is reset to zero during the right shift. Counter P counts the number of add-shift or shift actions. It is initially set at (n-) & it counts down. When P counts, the final product is in the double register A and Q. Control Unit is the heart of Multiplier: Its input, G initiate multiplication. Control unit generate control signals to perform addshift or shift operations. It uses Q (LSB of Q shift register) and counter zerodetect, Z signals. G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 32

Multiplier Control, ASM IDLE G C ; A P n - MUL Q A A + B C Cout MUL C, C A Q sr C A Q P P - Z Multiplication Done sr = shift right and C A Q sr C A Q is equivalent to 4 transfers A(n-) C, A sr A, Q(n-) A(), Q sr Q G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 33

Multiplier Control Unit Control Unit is the Heart of Multiplier Its input, G initiates multiplication. It uses Q (LSB of Q shift register) and counter zero-detect, Z signals. Control unit generates control signals to activate following micro-operations: Sum of A and B. PP transferred to A. Cout transferred to C. PP & multiplier in A:Q shifted right. Carry from C is shifted to MSB of A: LSB of Q is discarded. After right shift, -bit of PP is transferred into Q and multiplier bits are shifted one bit right. Control unit decides between add-shift and shift depending on the LSB of Q. Control unit checks Z for an end. Control unit checks G, to start multiplication. G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 34

Multiplier Control ASM Chart Analysis Multiplicand in register B Multiplier in Q State Changes from IDLE to MUL MUL State MUL State Decrement Counter P Four transfers take place A(n-) C; A sr A; Q(n-) A(); Q sr Q; G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 35

Control Unit Design Control unit design by using classical FSM design is impractical due to large number of inputs and states it may have. An attempt to minimize and simplify these circuits usually ends up in irregular networks that would be difficult to recognize and debug. An extension to the classical approach is used by experienced designer in designing control logic circuits: Sequence register and decoder method. One flip-flop per state method. (One-hot state assignment method) Microprogramming. The first two methods result in a hard-wired logic. Any modification will require rewiring. The micro-program control uses ROM/PROM. Modification of the PROM or replacing the ROM modifies the micro-program control. G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 36

Hardwired Control Type of Registers Used for Datapath Register A is a shift register with parallel load and synchronous clear. Register Q is a shift register. C flip-flop needs a synchronous clear. Register B has a parallel load. Register Q has a parallel load. To Implement Control Unit Consider: Control of micro-operations i.e. generate the control signals Sequencing of control unit and microoperation i.e. to determine what happens next. Control Unit Design Approach Simplify ASM chart to represent only state transitions. Generate a new table to define control signals in terms of states and inputs. G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 37

Control Signals for Multiplier Micro-operations for each register Block Diag Module Register A A Micro-Operation Control Signal Initialize A A + B Register B B IN FF C C C A Q sr C A Q C Cout Register Q Q IN C A Q sr C A Q Counter P P n P P Load Shift Load_B Clear_C Load Load_Q Shift Initialize Decrement Count Same control signal for different registers: Control Expression Derive control signal logic from ASM Initialize: Clear_C: Remove information on micro-operations and redraw the ASM for sequencing purposes only. G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 38

ASM for Sequencing Part Remove any decision boxes that do not affect the next state situation. Remove all the output boxes and any outputs in the state boxes. Design the sequencing part of the control unit using the simplified ASM chart IDLE G MUL MUL Z G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 39

Sequence Register and Decoder Method Sequence Register for control states Register with n-flip-flops can have 2 n states. n-bit sequence register has n-ffs & associated gates. Decoder provides outputs corresponding to each state Combination of the external inputs and feedback from the present state generates the next states. other control External Input conditions Decision Logic Sequence Register Decoder T Present State Tn If there is no external input then it reduces to a counter decoder control circuit. G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 4

Sequence Register and Decoder Method Binary Multiplier Control Sequencer 3-states and 2-inputs: State Table Present State Inputs N. State Decoder Name M M G Z M+ M+ IDLE MUL MUL IDLE x x MUL x x MUL x x x x x x x 2 Flip-flops : M M States, and : IDLE, MUL and MUL DM = M+ DM = M+ Outputs: Initialize, Clear_C, Shift and Load Initialize and Shift already available Gates required for Clear_C and Load Clear_C = Load = G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 4

Implementation Sequence Register and Decoder Method Inputs Outputs to Datapath Go (G) Z Initialize Clear_C Q Load D Q A C 2-to-4 Decoder 2 Shift_dec D Q A 3 C Clock G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 42

Multiplier VHDL Code -- A behavioral model of a multiplier for -- unsigned binary-numbers that multiplies a -- 4-bit multiplicand by a 4-bit multiplier -- to give an 8-bit product. -- The maximum number of clock cycles needed -- for a multiply is. library ieee; use ieee.std_logic_64.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity mult4x4 is port ( Clk, St: in std_logic; Mplier, Mcand: in std_logic_vector(3 downto ); Done: out std_logic); end mult4x4; architecture behave of mult4x4 is signal State: integer range to 9; -- accumulator signal ACC: std_logic_vector(8 downto ); -- Q is bit of ACC alias Q: std_logic is ACC(); begin G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 43

process begin -- executes on rising edge of clock wait until Clk = ''; case State is when => --initial State if St='' then ACC(8 downto 4)<= ""; --Begin cycle -- Load multiplier ACC(3 downto ) <= Mplier; State <= ; end if; when 3 5 7 => --"add/shift" State if Q = '' then --Add multiplicand ACC(8 downto 4) <= add4(acc(7 downto 4),Mcand,''); State <= State + ; else -- Shift accumulator right ACC <= '' & ACC(8 downto ); State <= State + 2; end if; when 2 4 6 8 => --"shift" State -- Right shift ACC <= '' & ACC(8 downto ); State <= State + ; when 9 => -- End of cycle State <= ; end case; end process; Done <= '' when State = 9 else ''; end behave; G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 44

One Flip-Flop per State Method Every state is assigned to one flip-flop. Other control outputs State Box Entry D Q S External input conditions Decision Logic State Box Exit D Q S D Q S2 D Q S3 Clock The configuration of the 4-state control logic: Four D-type flip-flops One flip-flop for every state Only one flip-flop will be active (level HIGH) at any one time. G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 45

One Flip-Flop per State Method Only one flip-flop is in active state or "" at a time that signifies one state. Main Features: The simplicity allows designers to design controller only by inspection from the ASM chart or state diagram. Cost saving in the design effort of controllers, however, it is not recommended for high volume production. Large number of flip-flops leads to high cost. Each of the flip-flop output is connected to the data-processing section of the digital system, to initiate certain micro-operations. If controllers do not have any input and the control needs to be repeated then it becomes a ring counter controller. G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 46

One FF/State Implementation Suited for Implementing Control Unit from ASM charts. ASM Transforming Rules Entry Entry State Code (optional) State_Name/ outputs (Moore) D C Q Exit State Box Exit Entry Entry X X Exit Exit Exit Decision Box Exit G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 47

One FF/State Implementation ASM Transforming Rules Entry Entry X X Exit Conditional Output Box Exit Output Entry Entry 2 Entry Entry 2 Exit Exit Junction G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 48

One FF/State Implementation ASM Chart for Binary Multiplier Control IDLE G Initialize Clear_C MUL Q A A + B C Cout (LOAD) MUL C, C A Q sr C A Q ; P P (Complex Shift) Z Multiplication Done G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 49

One FF/State Implementation D Q C G Initialize Clear_C D Q Q Load C Z D Q Shift_dec C Clock G.Khan Computer Organization & Architecture-COE68: ASM and Control Page: 5