Lenguaje VHDL. Diseño de sistemas digitales secuenciales

Size: px
Start display at page:

Download "Lenguaje VHDL. Diseño de sistemas digitales secuenciales"

Transcription

1 Lenguaje VHDL Diseño de sistemas digitales secuenciales

2 Flip-Flop D 1 entity d_ff is clk: in std_logic; d: in std_logic; q: out std_logic 2 end d_ff; P3 P1 5 Q D Q Q(t+1) architecture arch of d_ff is process(clk) P2 3 if (clk'event and clk='1') then q <= d; end 4 if; P4 end arch; 6 Q Clock D Q Q

3 Flip-flop con reset asíncrono entity d_ff_reset is clk, reset: in std_logic; d: in std_logic; q: out std_logic end d_ff_reset; architecture arch of d_ff_reset is process(clk,reset) if (reset='1') then q <='0'; elsif (clk'event and clk='1') then q <= d; end arch;

4 Flip-flop con enable síncrono entity d_ff_en is clk, reset: in std_logic; en: in std_logic; d: in std_logic; q: out std_logic end d_ff_en; architecture arch of d_ff_en is process(clk,reset) if (reset='1') then q <='0'; elsif (clk'event and clk='1') then if (en='1') then q <= d; end arch;

5 Quiz Diseñe un flip-flop RS, la tabla de verdad se muestra a continuación. U1 CLK R S FFSR Q QN S R Q Qt X X

6 Registros U1 clk q(7:0) d(7:0) reset reg_reset entity reg_reset is clk, reset: in std_logic; d: in std_logic_vector(7 downto 0 q: out std_logic_vector(7 downto 0) end reg_reset; architecture arch of reg_reset is process(clk,reset) if (reset='1') then q <=(others=>'0' elsif (clk'event and clk='1') then q <= d; end arch;

7 U1 clk ctrl(1:0) d(n-1:0) reset Registro de corrimiento q(n-1:0) univ_shift_reg entity univ_shift_reg is generic(n: integer := 8 clk, reset: in std_logic; ctrl: in std_logic_vector(1 downto 0 d: in std_logic_vector(n-1 downto 0 q: out std_logic_vector(n-1 downto 0) end univ_shift_reg; architecture arch of univ_shift_reg is signal r_reg: std_logic_vector(n-1 downto 0 signal r_next: std_logic_vector(n-1 downto 0 -- register process(clk,reset) if (reset='1') then r_reg <= (others=>'0' elsif (clk'event and clk='1') then r_reg <= r_next; -- next-state logic with ctrl select r_next <= r_reg when "00", --no op r_reg(n-2 downto 0) & d(0) when "01", --shift left; d(n-1) & r_reg(n-1 downto 1) when "10", --shift righ d when others; -- load -- output q <= r_reg; end arch;

8 Contadores use ieee.numeric_std.all; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter is generic (N:integer:=4 clk: in std_logic; q: inout std_logic_vector(n-1 downto 0) end counter; architecture arch of counter is process(clk) if (clk'event and clk='1') then q <= q+1; end arch;

9 Ejercicio Diseñe un circuito que muestre la cuenta de 0 a F (a un Hertz) en uno de los cuatro displays, la selección se realiza empleando las señales de entrada sel. Clk Reset Sel0 Sel1 Contador a 1 Hz 8 2 an

10 Contador up/down use ieee.numeric_std.all; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter_ud is generic (N:integer:=4 clk, reset, up: in std_logic; q: inout std_logic_vector(n-1 downto 0) end counter_ud; architecture arch of counter_ud is process(clk,reset,up) if (reset='1') then q<=(others=>'0' if (clk'event and clk='1') then if (up='1') then q <= q+1; else q <= q-1; end arch;

11 Máquinas de Mealy y Moore

12 Diagramas de estado Definición de tipos y señales type estados is (s0, s1, s2, s3 signal edo_presente, edo_futuro:estados; El proceso que define el comportamiento del sistema, debe considerar que el estado_futuro depende del estado_presente y de las entradas. process(edo_presente,a,b)

13 Diagramas de estado entity fsm is clk, reset: in std_logic; a, b: in std_logic; y0, y1: out std_logic end fsm;

14 process(edo_presente,a,b) architecture two of fsm is type estados is (s0, s1, s2 signal edo_presente, edo_futuro: estados; process(clk,reset) if (reset='1') then edo_presente <= s0; elsif (clk'event and clk='1') then edo_presente <= edo_futuro; y0 <= '0'; -- default 0 y1 <= '0'; -- default 0 case edo_presente is when s0 => y1 <= '1'; if a='1' then if b='1' then edo_futuro <= s2; y0 <= '1'; else edo_futuro <= s1; when s1 => y1 <= '1'; if (a='1') then edo_futuro <= s0; when s2 => edo_futuro <= s0; end case; end two;

15 Mejor implementación entity fsm is clk, reset: in std_logic; a, b: in std_logic; y0, y1: out std_logic end fsm; architecture two_seg_arch of fsm is type estados is (s0, s1, s2 signal edo_presente, edo_futuro: estados; process(clk,reset) if (reset='1') then edo_presente <= s0; elsif (clk'event and clk='1') then edo_presente <= edo_futuro; process(edo_presente,a,b) y0 <= '0'; -- default 0 y1 <= '0'; -- default 0 case edo_presente is when s0 => 001 y1 <= '1'; if a='1' then if b='1' then 011 edo_futuro <= s2; else 010 edo_futuro <= s1; -- no else branch when s1 => y1 <= '1'; if (a='1') then edo_futuro <= s0; else edo_futuro <= s1; when s2 => y0 <= '1'; edo_futuro <= s0; end case; end two_seg_arch;

16 Ejercicio

17 Circuito anti-rebote Diseñar el código en VHDL para un circuito anti-rebotes. F library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity antirebote is port ( clk, reset,pbsync: in STD_LOGIC; pulse: out STD_LOGIC end antirebote; architecture cartasm of antirebote is type estados is (s0,s1 signal edo_presente, edo_futuro: estados; process (clk, reset) if (reset='1') then edo_presente<=s0; elsif (clk'event and CLK = '1') then edo_presente<=edo_futuro; process (edo_presente, pbsync) pulse<='0'; case edo_presente is when s0 => if (pbsync='0') then edo_futuro<=s0; else edo_futuro<=s1; pulse<='1'; when s1=> pulse<='0'; if (pbsync='1') then edo_futuro<=s1; else edo_futuro<=s0; end case; end cartasm; T F T

Digital Design with VHDL

Digital Design with VHDL Digital Design with VHDL CSE 560M Lecture 5 Shakir James Shakir James 1 Plan for Today Announcement Commentary due Wednesday HW1 assigned today. Begin immediately! Questions VHDL help session Assignment

More information

12. A B C A B C A B C 1 A B C A B C A B C JK-FF NETr

12. A B C A B C A B C 1 A B C A B C A B C JK-FF NETr 2..,.,.. Flip-Flops :, Flip-Flops, Flip Flop. ( MOD)... -8 8, 7 ( ).. n Flip-Flops. n Flip-Flops : 2 n. 2 n, Modulo. (-5) -4 ( -), (-) - ( -).. / A A A 2 3 4 5 MOD-5 6 MOD-6 7 MOD-7 8 9 / A A A 2 3 4 5

More information

VGA video signal generation

VGA video signal generation A VGA display controller VGA video signal generation A VGA video signal contains 5 active signals: horizontal sync: digital signal, used for synchronisation of the video vertical sync: digital signal,

More information

VHDL programmering H2

VHDL programmering H2 VHDL programmering H2 VHDL (Very high speed Integrated circuits) Hardware Description Language IEEE standard 1076-1993 Den benytter vi!! Hvornår blev den frigivet som standard første gang?? Ca. 1980!!

More information

An Example VHDL Application for the TM-4

An Example VHDL Application for the TM-4 An Example VHDL Application for the TM-4 Dave Galloway Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto March 2005 Introduction This document describes a simple

More information

! " # # $ '"() * #! +, # / $0123$

!  # # $ '() * #! +, # / $0123$ ! " # # $ ##% "& & $# '"() * # +,(- *,. & #! +, # ( / $0123$ ( 1 - $# #4+,ENTITY4 ' 4 ) '! )( 5, # - 5 $ Contador_1s D #+ 6 CNT #+ 7( D 3 Contador_1s 2 Cnt ENTITY Contador_1s IS PORT ( D: IN BIT_VECTOR(2

More information

Lab 7: VHDL 16-Bit Shifter

Lab 7: VHDL 16-Bit Shifter Lab 7: VHDL 16-Bit Shifter Objectives : Design a 16-bit shifter which need implement eight shift operations: logic shift right, logic shift left, arithmetic shift right, arithmetic shift left, rotate right,

More information

CNC FOR EDM MACHINE TOOL HARDWARE STRUCTURE. Ioan Lemeni

CNC FOR EDM MACHINE TOOL HARDWARE STRUCTURE. Ioan Lemeni CNC FOR EDM MACHINE TOOL HARDWARE STRUCTURE Ioan Lemeni Computer and Communication Engineering Department Faculty of Automation, Computers and Electronics University of Craiova 13, A.I. Cuza, Craiova,

More information

(1) D Flip-Flop with Asynchronous Reset. (2) 4:1 Multiplexor. CS/EE120A VHDL Lab Programming Reference

(1) D Flip-Flop with Asynchronous Reset. (2) 4:1 Multiplexor. CS/EE120A VHDL Lab Programming Reference VHDL is an abbreviation for Very High Speed Integrated Circuit Hardware Description Language, and is used for modeling digital systems. VHDL coding includes behavior modeling, structure modeling and dataflow

More information

2 n. (finite state machines).

2 n. (finite state machines). . - S,, T FI-FO. ;. 2. ;,,.,, (sequential).. ( )... 3. ; (state) (state variables),.,, (state)..,,..,,. 4. ;. n 2 n., 2 n,, (finite state machines). 5. (feedback).,..,.,,. 6.,,., ( ).. ,.,. 7., ( ).,..,

More information

ECE 3401 Lecture 7. Concurrent Statements & Sequential Statements (Process)

ECE 3401 Lecture 7. Concurrent Statements & Sequential Statements (Process) ECE 3401 Lecture 7 Concurrent Statements & Sequential Statements (Process) Concurrent Statements VHDL provides four different types of concurrent statements namely: Signal Assignment Statement Simple Assignment

More information

Red de Revistas Científicas de América Latina y el Caribe, España y Portugal. Universidad Autónoma del Estado de México

Red de Revistas Científicas de América Latina y el Caribe, España y Portugal. Universidad Autónoma del Estado de México Journal of Applied Research and Technology Universidad Nacional Autónoma de México jart@aleph.cinstrum.unam.mx ISSN (Versión impresa): 1665-6423 MÉXICO 2003 M. A. Bañuelos Saucedo / J. Castillo Hernández

More information

Digital Systems Design. VGA Video Display Generation

Digital Systems Design. VGA Video Display Generation Digital Systems Design Video Signal Generation for the Altera DE Board Dr. D. J. Jackson Lecture 12-1 VGA Video Display Generation A VGA signal contains 5 active signals Two TTL compatible signals for

More information

VHDL GUIDELINES FOR SYNTHESIS

VHDL GUIDELINES FOR SYNTHESIS VHDL GUIDELINES FOR SYNTHESIS Claudio Talarico For internal use only 1/19 BASICS VHDL VHDL (Very high speed integrated circuit Hardware Description Language) is a hardware description language that allows

More information

Digital Design with Synthesizable VHDL

Digital Design with Synthesizable VHDL Digital Design with Synthesizable VHDL Prof. Stephen A. Edwards Columbia University Spring 2012 Combinational Logic in a Dataflow Style Hierarchy: Instantiating Components (entities) Combinational Logic

More information

A CPLD VHDL Introduction

A CPLD VHDL Introduction Application Note: CPLD R XAPP105 (v2.0) August 30, 2001 Summary This introduction covers the fundamentals of VHDL as applied to Complex Programmable Logic Devices (CPLDs). Specifically included are those

More information

Digital Fundamentals

Digital Fundamentals igital Fundamentals with PL Programming Floyd Chapter 9 Floyd, igital Fundamentals, 10 th ed, Upper Saddle River, NJ 07458. All Rights Reserved Summary Latches (biestables) A latch is a temporary storage

More information

Using Xilinx ISE for VHDL Based Design

Using Xilinx ISE for VHDL Based Design ECE 561 Project 4-1 - Using Xilinx ISE for VHDL Based Design In this project you will learn to create a design module from VHDL code. With Xilinx ISE, you can easily create modules from VHDL code using

More information

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER OBJECTIVES 1. Learn the basic elements of VHDL that are implemented in Warp. 2. Build a simple application using VHDL and

More information

Sprites in Block ROM

Sprites in Block ROM Sprites in Block ROM 1 Example 37 Sprites in Block ROM In Example 36 we made a sprite by storing the bit map of three initials in a VHDL ROM. To make a larger sprite we could use the Core Generator to

More information

FINITE STATE MACHINE: PRINCIPLE AND PRACTICE

FINITE STATE MACHINE: PRINCIPLE AND PRACTICE CHAPTER 10 FINITE STATE MACHINE: PRINCIPLE AND PRACTICE A finite state machine (FSM) is a sequential circuit with random next-state logic. Unlike the regular sequential circuit discussed in Chapters 8

More information

Asynchronous & Synchronous Reset Design Techniques - Part Deux

Asynchronous & Synchronous Reset Design Techniques - Part Deux Clifford E. Cummings Don Mills Steve Golson Sunburst Design, Inc. LCDM Engineering Trilobyte Systems cliffc@sunburst-design.com mills@lcdm-eng.com sgolson@trilobyte.com ABSTRACT This paper will investigate

More information

From VHDL to FPGA jagron@ittc.ku.edu, enno.luebbers@upb.de

From VHDL to FPGA jagron@ittc.ku.edu, enno.luebbers@upb.de From VHDL to FPGA #1: VHDL simulation Jason Agron University of Kansas Enno Lübbers University of Paderborn jagron@ittc.ku.edu, enno.luebbers@upb.de 1 Field-Programmable Gate Arrays (FPGAs) Fine-grained

More information

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies ETEC 2301 Programmable Logic Devices Chapter 10 Counters Shawnee State University Department of Industrial and Engineering Technologies Copyright 2007 by Janna B. Gallaher Asynchronous Counter Operation

More information

Step : Create Dependency Graph for Data Path Step b: 8-way Addition? So, the data operations are: 8 multiplications one 8-way addition Balanced binary

Step : Create Dependency Graph for Data Path Step b: 8-way Addition? So, the data operations are: 8 multiplications one 8-way addition Balanced binary RTL Design RTL Overview Gate-level design is now rare! design automation is necessary to manage the complexity of modern circuits only library designers use gates automated RTL synthesis is now almost

More information

Hardware Implementation of the Stone Metamorphic Cipher

Hardware Implementation of the Stone Metamorphic Cipher International Journal of Computer Science & Network Security VOL.10 No.8, 2010 Hardware Implementation of the Stone Metamorphic Cipher Rabie A. Mahmoud 1, Magdy Saeb 2 1. Department of Mathematics, Faculty

More information

CPE 462 VHDL: Simulation and Synthesis

CPE 462 VHDL: Simulation and Synthesis CPE 462 VHDL: Simulation and Synthesis Topic #09 - a) Introduction to random numbers in hardware Fortuna was the goddess of fortune and personification of luck in Roman religion. She might bring good luck

More information

EE 1130 Freshman Eng. Design for Electrical and Computer Eng.

EE 1130 Freshman Eng. Design for Electrical and Computer Eng. EE 1130 Freshman Eng. Design for Electrical and Computer Eng. Signal Processing Module (DSP). Module Project. Class 5 C2. Use knowledge, methods, processes and tools to create a design. I1. Identify and

More information

Técnicas Avanzadas de Inteligencia Artificial Dpt. Lenguajes y Sistemas Informáticos. FISS. UPV-EHU

Técnicas Avanzadas de Inteligencia Artificial Dpt. Lenguajes y Sistemas Informáticos. FISS. UPV-EHU Laboratorio 2 Comportamientos Técnicas Avanzadas de Inteligencia Artificial Dpt. Lenguajes y Sistemas Informáticos. FISS. UPV-EHU 1 Hilo de ejecución de un agente Ejecución del comportamiento onstart()

More information

Quartus II Introduction for VHDL Users

Quartus II Introduction for VHDL Users Quartus II Introduction for VHDL Users This tutorial presents an introduction to the Quartus II software. It gives a general overview of a typical CAD flow for designing circuits that are implemented by

More information

DIPLOMADO DE JAVA - OCA

DIPLOMADO DE JAVA - OCA DIPLOMADO DE JAVA - OCA TABLA DE CONTENIDO INTRODUCCION... 3 ESTRUCTURA DEL DIPLOMADO... 4 Nivel I:... 4 Fundamentals of the Java Programming Language Java SE 7... 4 Introducing the Java Technology...

More information

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit 1 Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENT OF FOR THE DEGREE IN Bachelor of Technology In Electronics and Communication

More information

Práctica 1: PL 1a: Entorno de programación MathWorks: Simulink

Práctica 1: PL 1a: Entorno de programación MathWorks: Simulink Práctica 1: PL 1a: Entorno de programación MathWorks: Simulink 1 Objetivo... 3 Introducción Simulink... 3 Open the Simulink Library Browser... 3 Create a New Simulink Model... 4 Simulink Examples... 4

More information

More Verilog. 8-bit Register with Synchronous Reset. Shift Register Example. N-bit Register with Asynchronous Reset.

More Verilog. 8-bit Register with Synchronous Reset. Shift Register Example. N-bit Register with Asynchronous Reset. More Verilog 8-bit Register with Synchronous Reset module reg8 (reset, CLK, D, Q); input reset; input [7:0] D; output [7:0] Q; reg [7:0] Q; if (reset) Q = 0; else Q = D; module // reg8 Verilog - 1 Verilog

More information

RISC Processor Simulator (SRC) INEL 4215: Computer Architecture and Organization September 22, 2004

RISC Processor Simulator (SRC) INEL 4215: Computer Architecture and Organization September 22, 2004 General Project Description RISC Processor Simulator (SRC) INEL 4215: Computer Architecture and Organization September 22, 2004 In the textbook, Computer Systems Design and Architecture by Heuring, we

More information

Digital Logic Design Sequential circuits

Digital Logic Design Sequential circuits Digital Logic Design Sequential circuits Dr. Eng. Ahmed H. Madian E-mail: ahmed.madian@guc.edu.eg Dr. Eng. Rania.Swief E-mail: rania.swief@guc.edu.eg Dr. Eng. Ahmed H. Madian Registers An n-bit register

More information

Finite State Machine Design and VHDL Coding Techniques

Finite State Machine Design and VHDL Coding Techniques Finite State Machine Design and VHDL Coding Techniques Iuliana CHIUCHISAN, Alin Dan POTORAC, Adrian GRAUR "Stefan cel Mare" University of Suceava str.universitatii nr.13, RO-720229 Suceava iulia@eed.usv.ro,

More information

Schema XML_PGE.xsd. element GrupoInformes. attribute GrupoInformes/@version. XML_PGE.xsd unqualified qualified http://sgpfc.igae.minhap.

Schema XML_PGE.xsd. element GrupoInformes. attribute GrupoInformes/@version. XML_PGE.xsd unqualified qualified http://sgpfc.igae.minhap. Schema XML_PGE.xsd schema location: attribute form default: element form default: targetnamespace: XML_PGE.xsd unqualified qualified http://sgpfc.igae.minhap.es/xmlpge element GrupoInformes children Informe

More information

VHDL Reference Manual

VHDL Reference Manual VHDL Reference Manual 096-0400-003 March 1997 Synario Design Automation, a division of Data I/O, has made every attempt to ensure that the information in this document is accurate and complete. Synario

More information

VHDL Test Bench Tutorial

VHDL Test Bench Tutorial University of Pennsylvania Department of Electrical and Systems Engineering ESE171 - Digital Design Laboratory VHDL Test Bench Tutorial Purpose The goal of this tutorial is to demonstrate how to automate

More information

In this example the length of the vector is determined by D length and used for the index variable.

In this example the length of the vector is determined by D length and used for the index variable. Loops Loop statements are a catagory of control structures that allow you to specify repeating sequences of behavior in a circuit. There are three primary types of loops in VHDL: for loops, while loops,

More information

Finite State Machine. RTL Hardware Design by P. Chu. Chapter 10 1

Finite State Machine. RTL Hardware Design by P. Chu. Chapter 10 1 Finite State Machine Chapter 10 1 Outline 1. Overview 2. FSM representation 3. Timing and performance of an FSM 4. Moore machine versus Mealy machine 5. VHDL description of FSMs 6. State assignment 7.

More information

Laboratorio di Sistemi Digitali M A.A. 2010/11

Laboratorio di Sistemi Digitali M A.A. 2010/11 begin if (RESET_N = '0') then for col in 0 to BOARD_COLUMNS-1 loop for row in 0 to BOARD_ROWS-1 loop... elsif (rising_edge(clock)) then... Laboratorio di Sistemi Digitali M 6 Esercitazione Tetris: View

More information

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division Introduction to Programmable Logic Devices John Coughlan RAL Technology Department Detector & Electronics Division PPD Lectures Programmable Logic is Key Underlying Technology. First-Level and High-Level

More information

EXPERIMENT 8. Flip-Flops and Sequential Circuits

EXPERIMENT 8. Flip-Flops and Sequential Circuits EXPERIMENT 8. Flip-Flops and Sequential Circuits I. Introduction I.a. Objectives The objective of this experiment is to become familiar with the basic operational principles of flip-flops and counters.

More information

Propiedades del esquema del Documento XML de envío:

Propiedades del esquema del Documento XML de envío: Web Services Envio y Respuesta DIPS Courier Tipo Operación: 122-DIPS CURRIER/NORMAL 123-DIPS CURRIER/ANTICIP Los datos a considerar para el Servicio Web DIN que se encuentra en aduana son los siguientes:

More information

An Open Source Circuit Library with Benchmarking Facilities

An Open Source Circuit Library with Benchmarking Facilities An Open Source Circuit Library with Benchmarking Facilities Mariusz Grad and Christian Plessl Paderborn Center for Parallel Computing, University of Paderborn {mariusz.grad christian.plessl}@uni-paderborn.de

More information

To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC.

To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC. 8.1 Objectives To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC. 8.2 Introduction Circuits for counting events are frequently used in computers and other digital

More information

State Machines in VHDL

State Machines in VHDL State Machines in VHDL Implementing state machines in VHDL is fun and easy provided you stick to some fairly well established forms. These styles for state machine coding given here is not intended to

More information

Printed Circuit Board Design with HDL Designer

Printed Circuit Board Design with HDL Designer Printed Circuit Board Design with HDL Designer Tom Winkert Teresa LaFourcade NASNGoddard Space Flight Center 301-286-291 7 NASNGoddard Space Flight Center 301-286-0019 tom.winkert8 nasa.gov teresa. 1.

More information

From UML to HDL: a Model Driven Architectural Approach to Hardware-Software Co-Design

From UML to HDL: a Model Driven Architectural Approach to Hardware-Software Co-Design From UML to HDL: a Model Driven Architectural Approach to Hardware-Software Co-Design Frank P. Coyle and Mitchell A. Thornton Computer Science and Engineering Dept Southern Methodist University Dallas

More information

HPN Product Tools. Copyright 2012 Hewlett-Packard Development Company, L.P. The information contained herein is subject to change without notice.

HPN Product Tools. Copyright 2012 Hewlett-Packard Development Company, L.P. The information contained herein is subject to change without notice. HPN Product Tools Requerimiento: Conozco el numero de parte (3Com,H3C,Procurve) Solución : El lookup Tool 1 Permite convertir el número de parte de un equipo proveniente de 3Com, H3C o Procurve para obtener

More information

Decimal Number (base 10) Binary Number (base 2)

Decimal Number (base 10) Binary Number (base 2) LECTURE 5. BINARY COUNTER Before starting with counters there is some vital information that needs to be understood. The most important is the fact that since the outputs of a digital chip can only be

More information

Manejo Basico del Servidor de Aplicaciones WebSphere Application Server 6.0

Manejo Basico del Servidor de Aplicaciones WebSphere Application Server 6.0 Manejo Basico del Servidor de Aplicaciones WebSphere Application Server 6.0 Ing. Juan Alfonso Salvia Arquitecto de Aplicaciones IBM Uruguay Slide 2 of 45 Slide 3 of 45 Instalacion Basica del Server La

More information

New Server Installation. Revisión: 13/10/2014

New Server Installation. Revisión: 13/10/2014 Revisión: 13/10/2014 I Contenido Parte I Introduction 1 Parte II Opening Ports 3 1 Access to the... 3 Advanced Security Firewall 2 Opening ports... 5 Parte III Create & Share Repositorio folder 8 1 Create

More information

Ranking de Universidades de Grupo of Eight (Go8)

Ranking de Universidades de Grupo of Eight (Go8) En consecuencia con el objetivo del programa Becas Chile el cual busca a través de la excelencia de las instituciones y programas académicos de destino cerciorar que los becarios estudien en las mejores

More information

1. DESCRIPCIÓN DE WEB SERVICES DE INTERCAMBIO DE DATOS CON NOTARIOS

1. DESCRIPCIÓN DE WEB SERVICES DE INTERCAMBIO DE DATOS CON NOTARIOS 1. DESCRIPCIÓN DE WEB SERVICES DE INTERCAMBIO DE DATOS CON NOTARIOS 1.1 Solicitud certificado:

More information

DIGITAL COUNTERS. Q B Q A = 00 initially. Q B Q A = 01 after the first clock pulse.

DIGITAL COUNTERS. Q B Q A = 00 initially. Q B Q A = 01 after the first clock pulse. DIGITAL COUNTERS http://www.tutorialspoint.com/computer_logical_organization/digital_counters.htm Copyright tutorialspoint.com Counter is a sequential circuit. A digital circuit which is used for a counting

More information

NOT COPY DO NOT COPY DO NOT COPY DO NOT COPY DO NOT COPY DO NOT COPY

NOT COPY DO NOT COPY DO NOT COPY DO NOT COPY DO NOT COPY DO NOT COPY Section 8. Counters HOW MUCH Once you understand the capabilities of different Ps, you might ask, Why not ES I COS? just always use the most capable P available? For example, even if a circuit fits in

More information

Albiral 15 Data retractable monitor, brushed stainless steel cabinet Ref: 150EJSMI

Albiral 15 Data retractable monitor, brushed stainless steel cabinet Ref: 150EJSMI 15 Data retractable monitor, brushed stainless steel cabinet Ref: 150EJSMI 15 TFT Active Matrix 1024(h) x 768(v) 0.297(h) x 0.297(v) mm 65º/75º(u/d), 70º/70º(l/r) 304.128(h) x 228.096(v) mm Tr 2 ms, Tf

More information

Latches, the D Flip-Flop & Counter Design. ECE 152A Winter 2012

Latches, the D Flip-Flop & Counter Design. ECE 152A Winter 2012 Latches, the D Flip-Flop & Counter Design ECE 52A Winter 22 Reading Assignment Brown and Vranesic 7 Flip-Flops, Registers, Counters and a Simple Processor 7. Basic Latch 7.2 Gated SR Latch 7.2. Gated SR

More information

PA (Process. Areas) Ex - KPAs

PA (Process. Areas) Ex - KPAs PA (Process Areas) Ex - KPAs CMMI Content: : Representación n etapas Level 5 Optimizing Focus Continuous Process Improvement Process Areas Organizational Innovation and Deployment Causal Analysis and Resolution

More information

Computer organization

Computer organization Computer organization Computer design an application of digital logic design procedures Computer = processing unit + memory system Processing unit = control + datapath Control = finite state machine inputs

More information

AV-002: Professional Web Component Development with Java

AV-002: Professional Web Component Development with Java AV-002: Professional Web Component Development with Java Certificación Relacionada: Oracle Certified Web Component Developer Detalles de la Carrera: Duración: 120 horas. Introducción: Java es un lenguaje

More information

CONCEPTS OF INDUSTRIAL AUTOMATION. By: Juan Carlos Mena Adolfo Ortiz Rosas Juan Camilo Acosta

CONCEPTS OF INDUSTRIAL AUTOMATION. By: Juan Carlos Mena Adolfo Ortiz Rosas Juan Camilo Acosta CONCEPTS OF By: Juan Carlos Mena Adolfo Ortiz Rosas Juan Camilo Acosta What is industrial automation? Introduction Implementation of normalized technologies for optimization of industrial process Where

More information

Rotary Encoder Interface for Spartan-3E Starter Kit

Rotary Encoder Interface for Spartan-3E Starter Kit Rotary Encoder Interface for Spartan-3E Starter Kit Ken Chapman Xilinx Ltd 2 th February 26 Rev.2 With thanks to Peter Alfke (Xilinx Inc.) Limitations Limited Warranty and Disclaimer. These designs are

More information

LOS ANGELES UNIFIED SCHOOL DISTRICT REFERENCE GUIDE

LOS ANGELES UNIFIED SCHOOL DISTRICT REFERENCE GUIDE REFERENCE GUIDE TITLE: No Child Left Behind (NCLB): Qualifications for Teachers; Parent Notification Requirements and Right to Know Procedures, Annual Principal Certification Form ROUTING All Schools and

More information

La profesión del ingeniero ALEJANDRO TERÁN C.

La profesión del ingeniero ALEJANDRO TERÁN C. La profesión del ingeniero ALEJANDRO TERÁN C. Razones para seleccionar II: Por gusto Las Mejores Universidades, Reforma, Agosto 2005 Profesión del Ingeniero 2 Razones para seleccionar II: Orientación vocacional

More information

ISSAI 1220. Control de calidad en una auditoría de estados financieros. Directriz de auditoría financiera

ISSAI 1220. Control de calidad en una auditoría de estados financieros. Directriz de auditoría financiera Las Normas Internacionales de las Entidades Fiscalizadoras Superiores (ISSAI) son emitidas por la Organización Internacional de Entidades Fiscalizadoras Superiores (INTOSAI). Para más información visite

More information

INGENIERíA. Scada System for a Power Electronics Laboratory. Sistema SCADA para un laboratorio de electrónica de potencia Y D E S A R R O L L O

INGENIERíA. Scada System for a Power Electronics Laboratory. Sistema SCADA para un laboratorio de electrónica de potencia Y D E S A R R O L L O INGENIERíA Y D E S A R R O L L O Scada System for a Power Electronics Laboratory Sistema SCADA para un laboratorio de electrónica de potencia Alejandro Paz Parra* Carlos Alberto Lozano** Manuel Vicente

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

ENEE 244 (01**). Spring 2006. Homework 5. Due back in class on Friday, April 28.

ENEE 244 (01**). Spring 2006. Homework 5. Due back in class on Friday, April 28. ENEE 244 (01**). Spring 2006 Homework 5 Due back in class on Friday, April 28. 1. Fill up the function table (truth table) for the following latch. How is this latch related to those described in the lectures

More information

Floating point package user s guide By David Bishop (dbishop@vhdl.org)

Floating point package user s guide By David Bishop (dbishop@vhdl.org) Floating point package user s guide By David Bishop (dbishop@vhdl.org) Floating-point numbers are the favorites of software people, and the least favorite of hardware people. The reason for this is because

More information

D755M CONTROL CARD FOR TWO SINGLE-PHASE MOTORS 220/230 VAC TARJETA DE MANDO PARA DOS MOTORES MONOFÁSICOS 220/230 VAC INSTALLATION GUIDE

D755M CONTROL CARD FOR TWO SINGLE-PHASE MOTORS 220/230 VAC TARJETA DE MANDO PARA DOS MOTORES MONOFÁSICOS 220/230 VAC INSTALLATION GUIDE Distributed by: AFW Access Systems Phone: 305-691-7711 Fax: 305-693-1386 E-mail: sales@anchormiami.com D755M CONTROL CARD FOR TWO SINGLE-PHASE MOTORS 220/230 VAC TARJETA DE MANDO PARA DOS MOTORES MONOFÁSICOS

More information

:DVYHUVWHKWPDQXQWHU %HKDYLRUDO6\QWKHVH" $066FKZHL]$*

:DVYHUVWHKWPDQXQWHU %HKDYLRUDO6\QWKHVH $066FKZHL]$* :DVYHUVWHKWPDQXQWHU %HKDYLRUDO6\QWKHVH" hehueolfn 57/'HVLJQIORZ 'HVLJQEHLVSLHO %HKDYLRUDO'HVLJQIORZ %HKDYLRUDO%HVFKUHLEXQJ %HKDYLRUDO6\QWKHVH 9RUWHLOHYRQ%HVFKUHLEXQJXQG6\QWKHVH (LQVDW]GHU%HKDYLRUDO6\QWKHVH

More information

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev. 1.4. Key Design Features. Block Diagram. Generic Parameters.

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev. 1.4. Key Design Features. Block Diagram. Generic Parameters. Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core 16-bit signed output samples 32-bit phase accumulator (tuning word) 32-bit phase shift feature Phase resolution of 2π/2

More information

Chapter 5. Sequential Logic

Chapter 5. Sequential Logic Chapter 5 Sequential Logic Sequential Circuits (/2) Combinational circuits: a. contain no memory elements b. the outputs depends on the current inputs Sequential circuits: a feedback path outputs depends

More information

CLASS D POWER AMPLIFIERS

CLASS D POWER AMPLIFIERS 2015 CLASS D POWER AMPLIFIERS ETAPAS DE POTENCIA/ POWER AMPLIFIERS CLASS D 3rd. GENERATION / DSP MUSICSON ha desarrollado una gama completa de etapas de potencia de clase D de tercera generación con fuente

More information

Explorando Oportunidades Juntos Juntos

Explorando Oportunidades Juntos Juntos Nelson H. Balido, Chairman and CEO Infocast - Houston November 2014 The Energy Council of the Americas (ECOTA) is the leading bi-national nonprofit organization comprised of public and private entities

More information

Ingeniería de Software & Ciclos de Vida. Luis Carlos Díaz Miguel Torres Julián Rodriguez

Ingeniería de Software & Ciclos de Vida. Luis Carlos Díaz Miguel Torres Julián Rodriguez Ingeniería de Software & Ciclos de Vida Luis Carlos Díaz Miguel Torres Julián Rodriguez Ingeniería de Software Personas Tecnología Producto Proceso 24-Ene-07 Msc. Luis Carlos Díaz 2 Costos 24-Ene-07 Msc.

More information

Registers & Counters

Registers & Counters Objectives This section deals with some simple and useful sequential circuits. Its objectives are to: Introduce registers as multi-bit storage devices. Introduce counters by adding logic to registers implementing

More information

Agility2.0. Enterdev S.A.S. Collin Kleine

Agility2.0. Enterdev S.A.S. Collin Kleine Agility2.0 Enterdev S.A.S. Collin Kleine Table of Contents Stages with versions... 4 Metamodel structure...5 Conceptual Static Model... 7 Conceptual Dynamic Model... 9 Agility Manager...10 Agility Automation

More information

Chapter 7. Registers & Register Transfers. J.J. Shann. J. J. Shann

Chapter 7. Registers & Register Transfers. J.J. Shann. J. J. Shann Chapter 7 Registers & Register Transfers J. J. Shann J.J. Shann Chapter Overview 7- Registers and Load Enable 7-2 Register Transfers 7-3 Register Transfer Operations 7-4 A Note for VHDL and Verilog Users

More information

Visión general de la integración con asanetwork

Visión general de la integración con asanetwork Visión general de la integración con asanetwork Este documento se ha preparado parar dar una visión general del flujo de trabajo de asanetwork y de las tareas a realizar por los programadores del Sistema

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: igital Circuits Chapter 7 Sequential Elements r. Curtis Nelson Sequential Elements In this chapter you will learn about: circuits that can store information; Basic cells, latches, and flip-flops;

More information

Asynchronous Counters. Asynchronous Counters

Asynchronous Counters. Asynchronous Counters Counters and State Machine Design November 25 Asynchronous Counters ENGI 25 ELEC 24 Asynchronous Counters The term Asynchronous refers to events that do not occur at the same time With respect to counter

More information

How many high-speed counters (HSCs) are provided by the SIMATIC S7-1200 PLC? SIMATIC S7-1200. FAQ March 2010. Service & Support. Answers for industry.

How many high-speed counters (HSCs) are provided by the SIMATIC S7-1200 PLC? SIMATIC S7-1200. FAQ March 2010. Service & Support. Answers for industry. How many high-speed counters (HSCs) are provided by the SIMATIC S7-1200 PLC? SIMATIC S7-1200 FAQ March 2010 Service & Support Answers for industry. Question This entry is from the Service&Support portal

More information

Chapter 8. Sequential Circuits for Registers and Counters

Chapter 8. Sequential Circuits for Registers and Counters Chapter 8 Sequential Circuits for Registers and Counters Lesson 3 COUNTERS Ch16L3- "Digital Principles and Design", Raj Kamal, Pearson Education, 2006 2 Outline Counters T-FF Basic Counting element State

More information

Combining the ADS1202 with an FPGA Digital Filter for Current Measurement in Motor Control Applications

Combining the ADS1202 with an FPGA Digital Filter for Current Measurement in Motor Control Applications Application Report SBAA094 June 2003 Combining the ADS1202 with an FPGA Digital Filter for Current Measurement in Motor Control Applications Miroslav Oljaca, Tom Hendrick Data Acquisition Products ABSTRACT

More information

PROGETTO DI SISTEMI ELETTRONICI DIGITALI. Digital Systems Design. Digital Circuits Advanced Topics

PROGETTO DI SISTEMI ELETTRONICI DIGITALI. Digital Systems Design. Digital Circuits Advanced Topics PROGETTO DI SISTEMI ELETTRONICI DIGITALI Digital Systems Design Digital Circuits Advanced Topics 1 Sequential circuit and metastability 2 Sequential circuit - FSM A Sequential circuit contains: Storage

More information

Shipping your pet to Spain

Shipping your pet to Spain Shipping your pet to Spain Spanish Removals also specialise in shipping pets to Spain. For most people their pet is far more precious than anything in their house. We have over 20 years experience of shipping

More information

Copyright 2016-123TeachMe.com 242ea 1

Copyright 2016-123TeachMe.com 242ea 1 Sentence Match Quiz for Category: por_vs_para_1 1) Son las habitaciones accesibles para discapacitados? - A: Are the rooms handicapped accessible? - B: You must fill out this form in order to get work

More information

Coding Guidelines for Datapath Synthesis

Coding Guidelines for Datapath Synthesis Coding Guidelines for Datapath Synthesis Reto Zimmermann Synopsys July 2005 Abstract This document summarizes two classes of RTL coding guidelines for the synthesis of datapaths: Guidelines that help achieve

More information

MAX II ISP Update with I/O Control & Register Data Retention

MAX II ISP Update with I/O Control & Register Data Retention MAX II ISP Update with I/O Control & Register Data Retention March 2006, ver 1.0 Application Note 410 Introduction MAX II devices support the real-time in-system mability (ISP) feature that allows you

More information

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology Topics of Chapter 5 Sequential Machines Memory elements Memory elements. Basics of sequential machines. Clocking issues. Two-phase clocking. Testing of combinational (Chapter 4) and sequential (Chapter

More information

Demonstration Project of Manufacturing Extension Operational Assessment Engagements

Demonstration Project of Manufacturing Extension Operational Assessment Engagements Demonstration Project of Manufacturing Extension Operational Assessment Engagements 22 October 2010 Paul Todd David Apple Special Thanks to: Hunter Douglas INAP Asociacion de Industrias San Bernardo 2

More information

Combinational Logic Design Process

Combinational Logic Design Process Combinational Logic Design Process Create truth table from specification Generate K-maps & obtain logic equations Draw logic diagram (sharing common gates) Simulate circuit for design verification Debug

More information

Digital Design and Synthesis INTRODUCTION

Digital Design and Synthesis INTRODUCTION Digital Design and Synthesis INTRODUCTION The advances in digital design owe its progress to 3 factors. First the acceleration at which the CMOS technology has advanced in last few decades and the way

More information

Clock Data Recovery Design Techniques for E1/T1 Based on Direct Digital Synthesis Author: Paolo Novellini and Giovanni Guasti

Clock Data Recovery Design Techniques for E1/T1 Based on Direct Digital Synthesis Author: Paolo Novellini and Giovanni Guasti Application Note: Virtex and Spartan FPGA Families XAPP868 (v1.0) January 29, 2008 Clock Data ecovery Design Techniques for E1/T1 Based on Direct Digital Synthesis Author: Paolo Novellini and Giovanni

More information