STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

Size: px
Start display at page:

Download "STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm"

Transcription

1 STMicroelectronics Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI SOI Processes 130nm, 65nm SiGe 130nm

2 CMP Process Portfolio from ST Moore s Law 130nm CMOS : HCMOS9GP More than Moore 130nm SiGe : BICMOS9MW 65nm CMOS : CMOS065LPGP 130nm HV-CMOS : HCMOS9A 40nm CMOS : CMOS040LP 65nm SOI : CMOS065-SOI 28nm CMOS : CMOS028LP 130nm SOI : HCMOS9-SOI 28nm FDSOI : 28FDSOI

3 Feature Size 1300 x density integration 1/1000 x gate delay (from ns to ps) 1/1000 x power consumption (from µw/mhz to nw/mhz) AMS 0.8µ AMS 0.6µ AMS 0.35µ ST 0.25µ ST 0.18µ 1.2k gates/mm 2 3k gates/mm 2 18k gates/mm 2 35k gates/mm 2 80k gates/mm 2 ST 130nm 180k gates/mm 2 ST 90nm 400k gates/mm 2 ST 65nm 800k gates/mm 2 ST 40nm ST 28nm 1600k gates/mm at CMP 2013 at CMP

4 HCMOS9 Process From STMicroelectronics H9 C65 C40 C28 C65 SOI H9 SOI B9 MW STMicroelectronics CMOS 130nm HCMOS9

5 HCMOS9 Process Features H9 C65 C40 C28 C65 SOI H9 SOI B9 MW 130nm mixed A/D/RF CMOS SLP/6LM (triple Well) Gate length (130nm drawn). 6 Cu metal layers. Low k inter-level dielectric Power supply: 1.2 V Multiple Vt transistor offering (Low Leakage, High Speed) 6 levels Cu Metal (Cross View) Courtesy STMicroelectronics Threshold voltages : VTN = 500/380 mv, VTP = 480/390 mv Isat : 1.2 V : 535/680 ua/um; 1.2 V : 240/320 ua/um

6 CMOS065 Process From STMicroelectronics H9 C65 C40 C28 C65 SOI H9 SOI B9 MW STMicroelectronics 65nm CMOS CMOS065

7 CMOS065 CMOS 65nm Process Features H9 C65 C40 C28 C65 SOI H9 SOI B9 MW 65nm poly length Dual or triple Vt MOS transistors Dual or triple gate oxide Dedicated process flavors for high performance or low power Dual-damascene copper for interconnect Low-k (k = 2.9) dielectric 7 metal layers 0.20 micron metallization pitch Analog/RF capabilities 800 kgates/mm 2 Various power supplies supported: 2.5V, 1.8V, 1.2V, 1V Available at CMP since Q4 2006

8 CMOS065 Process From STMicroelectronics H9 C65 C40 C28 C65 SOI H9 SOI B9 MW STMicroelectronics 40nm CMOS CMOS040LP

9 40nm CMOS Process H9 C65 C40 C28 C65 SOI H9 SOI B9 MW CMOS040LP Process Features : Multiple library elements can be selected at the design level and used in the same design block, providing users of the platform with greater flexibility in optimizing performance and power consumption. Densities of up to 1600 Kgates / mm 2, supporting a core supply of 1.1V, with metal pitches of 0.14-micron, and 7 metal layers. Power reduction techniques include adaptive vdd, low vdd operation, power shutdown, low standby current, etc... Full range of 1.8V I/O cells. Extremely dense embedded memories: SRAM cells, with area sizes down to 0.25 square micron, at a supply voltage of 1.1V down to 0.9V

10 40nm CMOS Process H9 C65 C40 C28 C65 SOI H9 SOI B9 MW 5 circuits manufactured in 2012 MPW price : Euro/mm 2. (minimum price 1mm 2.) 3 MPW runs scheduled in 2013.

11 H9 C65 C40 C28 C65 SOI H9 SOI B9 MW STMicroelectronics 28nm CMOS CMOS28LP

12 28nm CMOS Process H9 C65 C40 C28 C65 SOI H9 SOI B9 MW 28nm poly length (32nm drawn) Dual or triple Vt MOS transistors Dual or triple gate oxide Low power process flavor Dual-damascene copper for interconnect Low-k (k = 2.9) dielectric 10 layer metal (optional 7 layer metal) 0.10 micron metallization pitch Various power supplies supported: 1.8V, 1.2V, 1V Embedded memory (Single port RAM / ROM / Double Port RAM )

13 28nm CMOS Process H9 C65 C40 C28 C65 SOI H9 SOI B9 MW 22 circuits manufactured in 2012 MPW price : Euro/mm 2. (minimum price 1mm 2.) 3 MPW runs scheduled in 2013.

14 28nm FDSOI Process Features (1/2) 28nm FDSOI, 10 Layers Metal, Triple Well Single IO oxide + Single core oxide. Double VT : 1.0V Low Vt transistors (LVT) + 1.0V super Regular Vt transistors (RVT) Low Leakage (high density) SRAM using LP core oxide IO supply voltage: 1.8 V using the IO oxide. 10 Cu metal layers : (6 thin + 2 medium + 2 thick) Ultra Low k inter-level dielectric 0.10µ metal pitch Process Options : MIM : Metal-Insulator-Metal Capacitance OTP (anti-fuse): Capacitance + Drift MOS transistor

15 28nm FDSOI Process Features (2/2) TRIPLE WELL (Deep N-WELL) allows isolating PWELL from the substrate. FULLY-DEPLETED SOI devices, with ULTRATHIN BOX and GROUND PLANE. COINTEGRATION with BULK devices. HIGH-K / METAL GATE for enhanced gate oxide capacitance and gate leakage reduction. Self-aligned SILICIDED DRAIN, SOURCE AND GATE: silicide is necessary to short N+ and P+ gates; Self-aligned Silicide on Source/Drain allows butting straps with only one minimum contact. POLY AND ACTIVE RESISTORS: Silicide protection over active areas, for ESD protection. Also to make poly resistors. CHEMICAL MECHANICAL POLISHING for enhanced planarization (on STI, Contacts, Metals and vias).

16 UTSOI compact model Model name: UTSOI Description: Ultra Thin Fully Depleted SOI MOSFET Model Model version: 1.12 (VerilogA code version 1.1.2a) Model format: fully integrated in Eldo (LEVEL 80), XA(-eldo), Hspice (LEVEL 76) Model Documentation provided in de design-kit. MOSFET Predefined corner cases Monte Carlo simulation case SOA models (Safe Operating Areas) Simulators versions to use: Eldo: ams 11.2 XA-eldo: f sp1-eng2 Hspice: f sp1-eng1 Hspice simulator might need additional UTSOI free License (ask Synopsys)

17 DRC checks increasing with process feature size Process 0.35um CMOS 130nm CMOS 90 nm CMOS 65 nm CMOS 40 nm CMOS 28nm CMOS 28nm FDSOI Nbr. of DRC RuleChecks # DRC Rule Checks +13% C35B4 130nm CMOS 90nm CMOS 65nm CMOS 40n CMOS 28nm CMOS 28nm FDSOI

18 28nm FDSOI Standard Cells Libraries CORE cells Libraries : CORE_LL : Low Power LVT CORE_LR : Low Power RVT CLOCK (LL and LR): Buffer cells and the same for clock tree synthesis PR : Place and route filler cells. IO cells Libraries : Digital Analog Flip-Chip bumps ESD Memories SPRAM / DPRAM / ROM available on request

19 Migrating from 28nm CMOS bulk to 28nm FDSOI No disruptive process, no disruptive design Migrating from 28nm CMOS bulk to 28nm FDSOI is seamless. Same layer numbers and names, allow to load a bulk design in a FDSOI design environment. Common design-rules platform (ISDA alliance design-rules) Bulk devices could be co-integrated with FDSOI devices

20 Customers accessing to 28nm CMOS (2/2) Customers using 28nm CMOS can migrate easily to the 28nm FDSOI 77 Users from 23 countries

21 28FDSOI MPW runs MPW price is Euro/mm 2. 3 MPW runs scheduled in 2013.

22 H9 C65 C40 C28 C65 SOI H9 SOI B9MW BiCMOS9MW Process 130nm SiGe:C BiCMOS process For millimiter wave, wireless, optical communications

23 BICMOS9MW Process Features H9 C65 C40 C28 C65 SOI H9 SOI B9MW BICMOS9MW technology is using 0.13µm HCMOS9 as base process. Address millimeterwave applications (frequencies up to 77GHz for automotive radar), wireless communication (frequencies around 60GHz for WLAN) and optical communications systems driving data rates up 80Gbit/s. SiGe-C bipolar transistor (ft around 230GHz) Process Features : CMOS devices for 1.2V applications. 2.5V Capable I/O's. A high performance NPN bipolar transistor. A medium voltage NPN bipolar transistor. Shallow trench isolation (STI), triple well (NISO), twin-tub, single poly, for CMOS core process. Deep trench isolation (DTI) combined with STI and SiGe-C epi base for NPN transistors Optional Dual Vt Back-end with 6 metal layers. Damascene Copper for metal 1 to last metal. Thin metal layers : metal 1 to 3 Thick metal layers : Metal4, Metal5 and Metal6. MIM capacitors

24 BICMOS9MW MPW runs H9 C65 C40 C28 C65 SOI H9 SOI B9MW 19 circuits manufactured in 2012 MPW price is 3500 Euro/mm 2. (4500 Euro/mm 2 for industrial users) 4 MPW runs scheduled in 2013.

25 H9 C65 C40 C28 C65 SOI H9 SOI B9 MW SOI processes

26 65nm CMOS SOI H9 C65 C40 C28 C65 SOI H9 SOI B9 MW CMOS065LP-SOI Process Features: - Partially Depleted SOI on High Resistivity Substrate - Triple-VT 1.2V transistors, with both floating body and Body-contacted versions - 1 thick oxide for either 1.8V or 2.5V IOs - 6 levels of metal (last metal is thick) - RF-MOS, RF-PADS, varactors, inductors... - MPW runs common to every CMOS065 bulk (3 MPW runs in 2012)

27 65nm CMOS SOI H9 C65 C40 C28 C65 SOI H9 SOI B9 MW MPW price is 9500 Euro/mm 2. 4 MPW runs scheduled in 2013.

28 130nm 130nm CMOS SOI H9 C65 C40 C28 C65 SOI H9 SOI B9 MW HCMOS9-SOI Process Features: - 1 thick oxide for 2.5V power supply - 6 metal layers - RF-MOS, RF-PADS, varactors, inductors... - MPW runs are common to every HCMOS9 bulk (4 MPW runs in 2013)

29 130nm 130nm CMOS SOI H9 C65 C40 C28 C65 SOI H9 SOI B9 MW 6 circuits manufactured in 2012 MPW price is 4000 Euro/mm 2. 4 MPW runs scheduled in 2013.

30 Conclusion Excellent Partnership CMP / STMicroelectronics. Efficient Technical Support. 65nm number of circuits continuing increasing 28nm CMOS & FDSOI rapidly adopted.

Design-Kits, Libraries & IPs

Design-Kits, Libraries & IPs Design-Kits, Libraries & IPs Supported CAD tools Design-kits overview Digital, Analog, and RF Libraries IPs Supported CAD tools Design-kits overview ST 65nm Tanner PDK Standard cell Libraries IPs austriamicrosystems

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets.

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets. INF4420 Layout and CMOS processing technology Spring 2012 1 / 76 Outline CMOS Fabrication overview Design rules Layout of passive and active componets Packaging 2 / 76 Introduction As circuit designers

More information

Procedure to get a design kit

Procedure to get a design kit Design kits Procedure to get a design kit Design kits available at CMP Design kits distribution Procedure to handle DK requests Customer request : http://cmp.imag.fr Design kit request form http://cmp.imag.fr/

More information

TowerJazz High Performance SiGe BiCMOS processes

TowerJazz High Performance SiGe BiCMOS processes TowerJazz High Performance SiGe BiCMOS processes 2 Comprehensive Technology Portfolio 0.50 µm 0.35 µm 0.25 µm 0.18/0.16/0.152 µm 0.13 0.13µm BiCMOS, SiGe SiGe SiGe SiGe Power/BCD BCD BCD Power/BCD Image

More information

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost Comparison study of FETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost David Fried, IBM Thomas Hoffmann, IMEC Bich-Yen Nguyen, SOITEC Sri Samavedam, Freescale Horacio Mendez, SOI Industry

More information

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Wlodek Kurjanowicz DesignCon 2008 Sidense Corp 2008 Agenda Introduction: Why Embedded NVM? Embedded Memory Landscape Antifuse Memory evolution

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

SiGe:C BiCMOS Technologies for RF Automotive Application

SiGe:C BiCMOS Technologies for RF Automotive Application SiGe:C BiCMOS Technologies for RF Automotive Application Gerhard Fischer, Srdjan Glisic, Bernd Heinemann, Dieter Knoll, Wolfgang Winkler IHP Im Technologiepark 25 15236 Frankfurt (Oder) Germany Outline

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

How To Integrate 3D-Ic With A Multi Layer 3D Chip

How To Integrate 3D-Ic With A Multi Layer 3D Chip 3D-IC Integration Developments Cooperation for servicing and MPW runs offering Agenda Introduction Process overview Partnership for MPW runs service 3D-IC Design Platform First MPW run Conclusion 3D-IC

More information

1. Submission Rules. 2. Verification tools. 3. Frequent errors

1. Submission Rules. 2. Verification tools. 3. Frequent errors Design Submission 1. Submission Rules 2. Verification tools 3. Frequent errors Design submission rules 1. Send the submission form in the same time as the circuit database 2. The GDSII file must have a.gds

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

ECE 410: VLSI Design Course Introduction

ECE 410: VLSI Design Course Introduction ECE 410: VLSI Design Course Introduction Professor Andrew Mason Michigan State University Spring 2008 ECE 410, Prof. A. Mason Lecture Notes Page i.1 Age of electronics microcontrollers, DSPs, and other

More information

Class 18: Memories-DRAMs

Class 18: Memories-DRAMs Topics: 1. Introduction 2. Advantages and Disadvantages of DRAMs 3. Evolution of DRAMs 4. Evolution of DRAMs 5. Basics of DRAMs 6. Basics of DRAMs 7. Write Operation 8. SA-Normal Operation 9. SA-Read Operation

More information

Lecture 15. Advanced Technology Platforms. Background and Trends State-of-the-Art CMOS Platforms

Lecture 15. Advanced Technology Platforms. Background and Trends State-of-the-Art CMOS Platforms Lecture 15 Advanced Technology Platforms Background and Trends State-of-the-Art CMOS Platforms Reading: multiple research articles (reference list at the end of this lecture) Technology and Applications

More information

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach)

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach) CONTENTS Preface. Energy Band Theory.. Electron in a crystal... Two examples of electron behavior... Free electron...2. The particle-in-a-box approach..2. Energy bands of a crystal (intuitive approach)..3.

More information

MEMS Processes from CMP

MEMS Processes from CMP MEMS Processes from CMP MUMPS from MEMSCAP Bulk Micromachining 1 / 19 MEMSCAP MUMPS processes PolyMUMPS SOIMUMPS MetalMUMPS 2 / 19 MEMSCAP Standard Processes PolyMUMPs 8 lithography levels, 7 physical

More information

UTBB-FDSOI 28nm : RF Ultra Low Power technology for IoT

UTBB-FDSOI 28nm : RF Ultra Low Power technology for IoT UTBB-FDSOI 28nm : RF Ultra Low Power technology for IoT International Forum on FDSOI IC Design B. Martineau www.cea.fr Cliquez pour modifier le style du Outline titre Introduction UTBB-FDSOI 28nm for RF

More information

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-4, Issue-1 E-ISSN: 2347-2693 A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology Zahra

More information

1.1 Silicon on Insulator a brief Introduction

1.1 Silicon on Insulator a brief Introduction Table of Contents Preface Acknowledgements Chapter 1: Overview 1.1 Silicon on Insulator a brief Introduction 1.2 Circuits and SOI 1.3 Technology and SOI Chapter 2: SOI Materials 2.1 Silicon on Heteroepitaxial

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis August 17, 2006 Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST Flash Memories João Pela (52270), João Santos (55295) IST December 22, 2008 João Pela (52270), João Santos (55295) (IST) Flash Memories December 22, 2008 1 / 41 Layout 1 Introduction 2 How they work 3

More information

«A 32-bit DSP Ultra Low Power accelerator»

«A 32-bit DSP Ultra Low Power accelerator» «A 32-bit DSP Ultra Low Power accelerator» E. Beigné edith.beigne@cea.fr CEA LETI MINATEC, Grenoble, France www.cea.fr Low power SOC challenges : Energy Efficiency Fine-Grain AVFS solutions FDSOI technology

More information

Le Service CMP : Fabrication de Circuits Intégrés et MEMS. http://cmp.imag.fr

Le Service CMP : Fabrication de Circuits Intégrés et MEMS. http://cmp.imag.fr ATELIER ASIC ANALOGIQUES ET MIXTES POUR APPLICATIONS SPATIALES 13-14 Novembre 2006, Toulouse Le Service CMP : Fabrication de Circuits Intégrés et MEMS Kholdoun TORKI CMP 46 avenue Félix Viallet 38031 Grenoble

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical delivers superior quality

More information

CO2005: Electronics I (FET) Electronics I, Neamen 3th Ed. 1

CO2005: Electronics I (FET) Electronics I, Neamen 3th Ed. 1 CO2005: Electronics I The Field-Effect Transistor (FET) Electronics I, Neamen 3th Ed. 1 MOSFET The metal-oxide-semiconductor field-effect transistor (MOSFET) becomes a practical reality in the 1970s. The

More information

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS Prof. Dr. João Antonio Martino Professor Titular Departamento de Engenharia de Sistemas Eletrônicos Escola Politécnica da Universidade

More information

Low Power AMD Athlon 64 and AMD Opteron Processors

Low Power AMD Athlon 64 and AMD Opteron Processors Low Power AMD Athlon 64 and AMD Opteron Processors Hot Chips 2004 Presenter: Marius Evers Block Diagram of AMD Athlon 64 and AMD Opteron Based on AMD s 8 th generation architecture AMD Athlon 64 and AMD

More information

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com Introduction to Semiconductor Manufacturing Technology Chapter 1, Introduction Hong Xiao, Ph. D. hxiao89@hotmail.com Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objective After taking this

More information

Semiconductor Memories

Semiconductor Memories Semiconductor Memories Semiconductor memories array capable of storing large quantities of digital information are essential to all digital systems Maximum realizable data storage capacity of a single

More information

Introduction to CMOS VLSI Design

Introduction to CMOS VLSI Design Introduction to CMOS VLSI esign Slides adapted from: N. Weste,. Harris, CMOS VLSI esign, Addison-Wesley, 3/e, 24 Introduction Integrated Circuits: many transistors on one chip Very Large Scale Integration

More information

System on Chip Design. Michael Nydegger

System on Chip Design. Michael Nydegger Short Questions, 26. February 2015 What is meant by the term n-well process? What does this mean for the n-type MOSFETs in your design? What is the meaning of the threshold voltage (practically)? What

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

Nanotechnologies for the Integrated Circuits

Nanotechnologies for the Integrated Circuits Nanotechnologies for the Integrated Circuits September 23, 2015 Dr. Bertrand Cambou Professor of Practice NAU, Cybersecurity School of Informatics, Computing, and Cyber-Systems Agenda The Market Silicon

More information

Lab 3 Layout Using Virtuoso Layout XL (VXL)

Lab 3 Layout Using Virtuoso Layout XL (VXL) Lab 3 Layout Using Virtuoso Layout XL (VXL) This Lab will go over: 1. Creating layout with Virtuoso layout XL (VXL). 2. Transistor Chaining. 3. Creating Standard cell. 4. Manual Routing 5. Providing Substrate

More information

MRF175GU MRF175GV The RF MOSFET Line 200/150W, 500MHz, 28V

MRF175GU MRF175GV The RF MOSFET Line 200/150W, 500MHz, 28V Designed for broadband commercial and military applications using push pull circuits at frequencies to 500 MHz. The high power, high gain and broadband performance of these devices makes possible solid

More information

A 2.4GHz Cascode CMOS Low Noise Amplifier

A 2.4GHz Cascode CMOS Low Noise Amplifier A 2.4GHz Cascode CMOS Low Noise Amplifier Gustavo Campos Martins Universidade Federal de Santa Catarina Florianopolis, Brazil gustavocm@ieee.org Fernando Rangel de Sousa Universidade Federal de Santa Catarina

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

3D-IC Integration Developments & Cooperations for servicing

3D-IC Integration Developments & Cooperations for servicing Ecole de microélectronique et microsystèmes 16-19 mai 2011, Fréjus 3D-IC Integration Developments & Cooperations for servicing Kholdoun TORKI Kholdoun.Torki@imag.fr CMP 46, Avenue Félix Viallet, 38031

More information

Bob York. Transistor Basics - MOSFETs

Bob York. Transistor Basics - MOSFETs Bob York Transistor Basics - MOSFETs Transistors, Conceptually So far we have considered two-terminal devices that are described by a current-voltage relationship I=f(V Resistors: Capacitors: Inductors:

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

Highly Scalable NAND Flash Memory Cell Design Embracing Backside Charge Storage

Highly Scalable NAND Flash Memory Cell Design Embracing Backside Charge Storage JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.2.286 ISSN(Online) 2233-4866 Highly Scalable NAND Flash Memory Cell

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

How To Scale At 14 Nanomnemester

How To Scale At 14 Nanomnemester 14 nm Process Technology: Opening New Horizons Mark Bohr Intel Senior Fellow Logic Technology Development SPCS010 Agenda Introduction 2 nd Generation Tri-gate Transistor Logic Area Scaling Cost per Transistor

More information

EMC / EMI issues for DSM: new challenges

EMC / EMI issues for DSM: new challenges EMC / EMI issues for DSM: new challenges A. Boyer, S. Ben Dhia, A. C. Ndoye INSA Toulouse Université de Toulouse / LATTIS, France www.ic-emc.org Long Term Reliability in DSM, 3rd October, 2008 www.ic-emc.org

More information

High-Speed Electronics

High-Speed Electronics High-Speed Electronics Mentor User Conference 2005 - München Dr. Alex Huber, hubera@zma.ch Zentrum für Mikroelektronik Aargau, 5210 Windisch, Switzerland www.zma.ch Page 1 Outline 1. Motivation 2. Speed

More information

Bi-directional FlipFET TM MOSFETs for Cell Phone Battery Protection Circuits

Bi-directional FlipFET TM MOSFETs for Cell Phone Battery Protection Circuits Bi-directional FlipFET TM MOSFETs for Cell Phone Battery Protection Circuits As presented at PCIM 2001 Authors: *Mark Pavier, *Hazel Schofield, *Tim Sammon, **Aram Arzumanyan, **Ritu Sodhi, **Dan Kinzer

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

Chapter 10 Advanced CMOS Circuits

Chapter 10 Advanced CMOS Circuits Transmission Gates Chapter 10 Advanced CMOS Circuits NMOS Transmission Gate The active pull-up inverter circuit leads one to thinking about alternate uses of NMOS devices. Consider the circuit shown in

More information

EB215E Printed Circuit Board Layout for Improved Electromagnetic Compatibility

EB215E Printed Circuit Board Layout for Improved Electromagnetic Compatibility Printed Circuit Board Layout for Improved Author: Eilhard Haseloff Date: 10.07.96 Rev: * The electromagnetic compatibility (EMC) of electronic circuits and systems has recently become of increasing significance.

More information

Chapter 9 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 9 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 9 Semiconductor Memories Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 2 Outline Introduction

More information

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat. Introduction to VLSI Programming TU/e course 2IN30 Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.Lab] Introduction to VLSI Programming Goals Create silicon (CMOS) awareness

More information

EE-612: Nanoscale Transistors (Advanced VLSI Devices) Spring 2005

EE-612: Nanoscale Transistors (Advanced VLSI Devices) Spring 2005 EE-612: Nanoscale Transistors (Advanced VLSI Devices) Spring 2005 Mark Lundstrom Electrical and Computer Engineering Purdue University, West Lafayette, IN USA 765-494-3515 lundstro@purdue.edu 1 evolution

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Printed-Circuit-Board Layout for Improved Electromagnetic Compatibility

Printed-Circuit-Board Layout for Improved Electromagnetic Compatibility Printed-Circuit-Board Layout for Improved Electromagnetic Compatibility SDYA011 October 1996 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue

More information

Bi-directional level shifter for I²C-bus and other systems.

Bi-directional level shifter for I²C-bus and other systems. APPLICATION NOTE Bi-directional level shifter for I²C-bus and other Abstract With a single MOS-FET a bi-directional level shifter circuit can be realised to connect devices with different supply voltages

More information

Intel Labs at ISSCC 2012. Copyright Intel Corporation 2012

Intel Labs at ISSCC 2012. Copyright Intel Corporation 2012 Intel Labs at ISSCC 2012 Copyright Intel Corporation 2012 Intel Labs ISSCC 2012 Highlights 1. Efficient Computing Research: Making the most of every milliwatt to make computing greener and more scalable

More information

ANN Based Modeling of High Speed IC Interconnects. Q.J. Zhang, Carleton University

ANN Based Modeling of High Speed IC Interconnects. Q.J. Zhang, Carleton University ANN Based Modeling of High Speed IC Interconnects Needs for Repeated Simulation Signal integrity optimization Iterative design and re-optimization Monte-Carlo analysis Yield optimization Iterative design

More information

CADENCE LAYOUT TUTORIAL

CADENCE LAYOUT TUTORIAL CADENCE LAYOUT TUTORIAL Creating Layout of an inverter from a Schematic: Open the existing Schematic Page 1 From the schematic editor window Tools >Design Synthesis >Layout XL A window for startup Options

More information

Simulation and Design Route Development for ADEPT-SiP

Simulation and Design Route Development for ADEPT-SiP Simulation and Design Route Development for ADEPT-SiP Alaa Abunjaileh, Peng Wong and Ian Hunter The Institute of Microwaves and Photonics School of Electronic and Electrical Engineering The University

More information

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications A -GSPS CMOS Flash A/D Converter for System-on-Chip Applications Jincheol Yoo, Kyusun Choi, and Ali Tangel Department of Computer Science & Department of Computer & Engineering Communications Engineering

More information

SLC vs. MLC: An Analysis of Flash Memory

SLC vs. MLC: An Analysis of Flash Memory SLC vs. MLC: An Analysis of Flash Memory Examining the Quality of Memory: Understanding the Differences between Flash Grades Table of Contents Abstract... 3 Introduction... 4 Flash Memory Explained...

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

Power Supply IC Technology Contributes to Smaller Size and Lower Power Consumption of Electronic Equipment

Power Supply IC Technology Contributes to Smaller Size and Lower Power Consumption of Electronic Equipment Power Supply IC Technology Contributes to Smaller Size and Lower Power Consumption of Electronic Equipment Eiji Kuroda 1. Introduction Table 1 Comparison of power supply IC process technology Power supply

More information

Flash Memory Jan Genoe KHLim Universitaire Campus, Gebouw B 3590 Diepenbeek Belgium

Flash Memory Jan Genoe KHLim Universitaire Campus, Gebouw B 3590 Diepenbeek Belgium Flash Memory Jan Genoe KHLim Universitaire Campus, Gebouw B 3590 Diepenbeek Belgium http://www.khlim.be/~jgenoe [1] http://en.wikipedia.org/wiki/flash_memory Geheugen 1 Product evolution Jan Genoe: Geheugen

More information

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016 Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms Christophe Maleville Substrate readiness 3 lenses view SOI Consortium C1 - Restricted Conference Tokyo 2016

More information

CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor

CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor Study the characteristics of energy bands as a function of applied voltage in the metal oxide semiconductor structure known

More information

CMOS, the Ideal Logic Family

CMOS, the Ideal Logic Family CMOS, the Ideal Logic Family INTRODUCTION Let s talk about the characteristics of an ideal logic family. It should dissipate no power, have zero propagation delay, controlled rise and fall times, and have

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Fully CMOS-Compatible On-Chip Optical Clock Distribution and Recovery Charles Thangaraj, Student Member, IEEE, Robert Pownall, Student

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

Hello and welcome to this training module for the STM32L4 Liquid Crystal Display (LCD) controller. This controller can be used in a wide range of

Hello and welcome to this training module for the STM32L4 Liquid Crystal Display (LCD) controller. This controller can be used in a wide range of Hello and welcome to this training module for the STM32L4 Liquid Crystal Display (LCD) controller. This controller can be used in a wide range of applications such as home appliances, medical, automotive,

More information

EM Noise Mitigation in Circuit Boards and Cavities

EM Noise Mitigation in Circuit Boards and Cavities EM Noise Mitigation in Circuit Boards and Cavities Faculty (UMD): Omar M. Ramahi, Neil Goldsman and John Rodgers Visiting Professors (Finland): Fad Seydou Graduate Students (UMD): Xin Wu, Lin Li, Baharak

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE Mohammad S. Sharawi Electrical Engineering Department, King Fahd University of Petroleum and Minerals Dhahran, 31261 Saudi Arabia Keywords: Printed Circuit

More information

The MOSFET Transistor

The MOSFET Transistor The MOSFET Transistor The basic active component on all silicon chips is the MOSFET Metal Oxide Semiconductor Field Effect Transistor Schematic symbol G Gate S Source D Drain The voltage on the gate controls

More information

Digital Design for Low Power Systems

Digital Design for Low Power Systems Digital Design for Low Power Systems Shekhar Borkar Intel Corp. Outline Low Power Outlook & Challenges Circuit solutions for leakage avoidance, control, & tolerance Microarchitecture for Low Power System

More information

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN USING DIFFERENT FOUNDRIES Priyanka Sharma 1 and Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department

More information

LUXEON LEDs. Circuit Design and Layout Practices to Minimize Electrical Stress. Introduction. Scope LED PORTFOLIO

LUXEON LEDs. Circuit Design and Layout Practices to Minimize Electrical Stress. Introduction. Scope LED PORTFOLIO LED PORTFOLIO LUXEON LEDs Circuit Design and Layout Practices to Minimize Electrical Stress Introduction LED circuits operating in the real world can be subjected to various abnormal electrical overstress

More information

Microsystem technology and printed circuit board technology. competition and chance for Europe

Microsystem technology and printed circuit board technology. competition and chance for Europe Microsystem technology and printed circuit board technology competition and chance for Europe Prof. Udo Bechtloff, KSG Leiterplatten GmbH 1 Content KSG a continuously growing company PCB based Microsystems

More information

Following a paper that I wrote in 1965 and a speech that I gave in

Following a paper that I wrote in 1965 and a speech that I gave in C H A P T E R 7 MOORE S LAW AT 40 Gordon E. Moore Following a paper that I wrote in 1965 and a speech that I gave in 1975, the term Moore s law was coined as a name for a type of prediction that I had

More information

PHYSICS OF DEVICES ESONN 04. ARCES, University of Bologna. 8/19/2004 Enrico Sangiorgi. Enrico Sangiorgi. ARCES University of Bologna

PHYSICS OF DEVICES ESONN 04. ARCES, University of Bologna. 8/19/2004 Enrico Sangiorgi. Enrico Sangiorgi. ARCES University of Bologna PHYSICS OF DEVICES Enrico Sangiorgi ARCES, University of Bologna ESONN 04 ARCES University of Bologna OUTLINE OF THE COURSE CLASS 1 Introduction to nano-micro micro-electronics. Electrostatic and electrodynamics

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

5V Tolerance Techniques for CoolRunner-II Devices

5V Tolerance Techniques for CoolRunner-II Devices Application Note: Coolunner-II CPLDs XAPP429 (v1.0) August 8, 2003 5V Tolerance Techniques for Summary This document describes several different methods for interfacing 5V signals to Coolunner - II devices.

More information

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas.

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas. Order this document by /D Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas Introduction Today s microcontroller applications are more sophisticated

More information

Titre: Required Information For Submitting Databases to TELEDYNE DALSA Design & Product Support.

Titre: Required Information For Submitting Databases to TELEDYNE DALSA Design & Product Support. Titre: Required Information For Submitting Databases to TELEDYNE DALSA Design & Product Support. Document : DES-0002.11 Création du document : December 22th, 2004 Bromont, Québec, Canada 2 DE 7 Database

More information

RF Energy Harvesting Circuits

RF Energy Harvesting Circuits RF Energy Harvesting Circuits Joseph Record University of Maine ECE 547 Fall 2011 Abstract This project presents the design and simulation of various energy harvester circuits. The overall design consists

More information

Notes about Small Signal Model. for EE 40 Intro to Microelectronic Circuits

Notes about Small Signal Model. for EE 40 Intro to Microelectronic Circuits Notes about Small Signal Model for EE 40 Intro to Microelectronic Circuits 1. Model the MOSFET Transistor For a MOSFET transistor, there are NMOS and PMOS. The examples shown here would be for NMOS. Figure

More information

A NEAR FIELD INJECTION MODEL FOR SUSCEPTIBILITY PREDICTION IN INTEGRATED CIRCUITS

A NEAR FIELD INJECTION MODEL FOR SUSCEPTIBILITY PREDICTION IN INTEGRATED CIRCUITS ICONIC 2007 St. Louis, MO, USA June 27-29, 2007 A NEAR FIELD INJECTION MODEL FOR SUSCEPTIBILITY PREDICTION IN INTEGRATED CIRCUITS Ali Alaeldine 12, Alexandre Boyer 3, Richard Perdriau 1, Sonia Ben Dhia

More information