Semiconductor Memories

Size: px
Start display at page:

Download "Semiconductor Memories"

Transcription

1 Semiconductor Memories Semiconductor memories array capable of storing large quantities of digital information are essential to all digital systems Maximum realizable data storage capacity of a single chip doubles every two years On-chip memory have become a widely used subsystem in many VLSI circuit Prof. Gaetano Palumbo 1 Semiconductor memory read-write RWM read-only ROM nonvolatile read-write NRWM non random access random access EPROM E PROM FLASH FIFO, LIFO CAM static SRAM dynamic DRAM Prof. Gaetano Palumbo 1

2 Memory characteristic Capability to store data, Memory Size (bit, byte or word) Time needed to retrieve data, read-access (delay between the read request and the time the data is available) Time elapsed between a write request and the final writing of data, write-access Minimum time required between successive reads or writes, cycle time (it is greater than the access times. It can be different for write or read operation, but it is assumed equal for simplicity) Prof. Gaetano Palumbo 3 Read cycle READ Read access Read access Write cycle WRITE Data Valid Write access DATA Data written Prof. Gaetano Palumbo 4

3 Memory architectures S0 S1 S S n- S n-1 Word 0 Word 1 Word Word n- Word n-1 Storage cell (bit) Input-Output A0 A1 A k-1 d ec o d er k = log n S0 S n-1 Word 0 Word 1 Word Word n- Word n-1 Input-Output A decoder is inserted to reduce the number of select signals (A o A K-1 address word) Prof. Gaetano Palumbo 5 In order to optimize the area of the memory the shape of the core should be almost square A k A k+1 A m-1 Word line d e r c o o w d e r A o A k-1 Input-Output Word 0 Word 1 Word Word N- Word N-1 sense amplifiers column decoder storage cell (word) bit line Prof. Gaetano Palumbo 6 3

4 It is common to reduce voltage swing of bit line to reduce propagation delay, power consumption, cross talk interfacing with external word is achieved via sense amplifiers which give full rail-to-rail swing The architecture works well up to range of 64 Kbits to 56 Kbits (larger memories suffer of speed degradation due to excessively long bit and word lines) Prof. Gaetano Palumbo 7 Higher memories are partitioned into P smaller block (it is added the block address) the local word and bit lines are reduced non active block can be powered off Block 0 Block j Block p-1 row address column address block address Control circuitry block selector Global amplifier/driver input/output Global data bus Prof. Gaetano Palumbo 8 4

5 I/O interface has an enormous impact on the global memory performance (control and timing) DRAM use multiplexed addressing scheme (the lower and upper halves of the address are presented sequentially) reduced number of package pins it is needed strobe signals for each part of the address SRAM uses a self-timed approach (the complete address is presented at once) Prof. Gaetano Palumbo 9 Read-Only Memories NOR ROM WL 0 WL 1 GND WL WL 3 GND Prof. Gaetano Palumbo 10 5

6 A bit has a 0 value if the a MOS is present Each column woks has a pseudo-nmos NOR the design follows the same guidelines of the ratioed logic (pseudo-nmos) V OL equal to 1 to 3 volt for a 5 V power supply The array is constructed by repeating the same cell (in both the horizontal and vertical directions), mirroring the odd cells around the horizontal axis to share the ground line Prof. Gaetano Palumbo 11 The memory is programmed by a selective addition of metal-to-diffusion contact. (the presence of a contact means a 0-bit) WL 0 WL 1 Basic cell 10λ x 7λ WL WL 3 Metal 1 over diffusion GND (diffusion) Prof. Gaetano Palumbo 1 6

7 A denser solution uses an extra implant step to be able to set the MOS threshold to a voltage higher than the power supply (in that case transistor is off) Threshold rising implant WL 0 Basic cell 8.5λ x 7λ WL 1 WL Metal 1 over diffusion GND (diffusion) WL Prof. Gaetano Palumbo 13 A denser solution is NAND ROM since does not requires ground lines A transistor represent a 1 bit value NAND ROM WL 0 WL 1 WL WL 3 The word line operates in reverse logic mode (all word line are high for default) Prof. Gaetano Palumbo 14 7

8 The core area can be almost two times lower than other solutions Basic cell 5λ x 6λ WL 0 WL 1 WL Threshold lowering implant WL Worst performance since a wider pull-up load is needed (higher parasitic capacitances) Prof. Gaetano Palumbo 15 To reduce ROM power dissipation a precharged approach can be adopted Vpre WL 0 WL 1 GND WL WL 3 GND Prof. Gaetano Palumbo 16 8

9 Nonvolatile Read-Write Memories The architecture of the NVRW memories is virtually identical to the ROM structure They make use of transistor threshold which can be electrically altered To reprogram the memory, it need before to be erased The erasing methods differs for the various classes of NVRW The programming takes typically more time of the reading time (an order of magnitude) Prof. Gaetano Palumbo 17 Floating Gate Transistor The core of every NVRW is made by a floatinggate transistor Floating-gate transistor has the threshold voltage programmable Floating gate Source Gate Drain tox t ox n+ p n+ Prof. Gaetano Palumbo 18 9

10 To program the memory an high voltage in the range 15-0 V between source and gate-drain must be used When the programmable voltage is applied the high electric field causes avalanche injection (Electrons acquire sufficient energy to become hot and traverse the first oxide insulation) The phenomenon can occur with oxide as thick as 100 nm (simply to realize) and is self-limiting For the programming mechanism it is also called FAMOS (Floating-gate Avalanche-injection MOS) Prof. Gaetano Palumbo V 5 V 0 V 0 V n+ p n+ Avalanche injection the floating gate change its voltage which is ideally half of the gate 0 V -5 V 0 V n+ p n+ 5 V -.5 V 5 V n+ p n+ Removing programming voltages leaves charge trapped It is equivalent to a voltage offset Although the gate is at the power supply, the floating gate voltages is much lower than threshold voltage Prof. Gaetano Palumbo 0 10

11 EPROM Erasable-Programmable Read-Only Memory is erasable using ultraviolet light via a transparent window in the package are simple and dense erasure is slow (from seconds to minutes) programming takes several (5-10) µs/word erase/program cycle is limited to one thousand Prof. Gaetano Palumbo 1 EEPROM (E PROM) Electrically-Erasable Programmable Read-Only Memory provides electrical-erasure procedure are based on a modified floating gate transistor called FLOTOX (floating-gate tunneling oxide), which uses the Fowler-Nordheim tunneling effect The effect arises using dielectric thickness lower than 10 nm Source Drain n+ p n+ Prof. Gaetano Palumbo 11

12 The main advantage of the effect is that it is reversible The bidirectionality of the effect poses the problem of threshold control (removing too much charge can determine a depletion device always on), and to remedy to it a transistor in series is introduced (it acts as access device) WL Prof. Gaetano Palumbo 3 EEPROM are larger than EPROM (two transistors in each cell and FLOTOX is larger than FAMOS due to extra area for tunneling oxide) Fabrication of very thin oxide is a challenging and costly manufacturing step Advantages are their versatility Can support up to 10 5 erase/write cycle Programming can take from almost 10 ms/word (few s/chip) Prof. Gaetano Palumbo 4 1

13 FLASH FLASH Electrically-Erasable Programmable Read-Only Memory Combines EPROM density with E PROM versatility Uses avalanche hot-electrons-injection to program the device and the Fowler-Nordheim tunneling to erase it Erasure is performed in bulk for a complete core or for a subsection. This reduce flexibility, but allows to avoid access transistor (the threshold can be electronically controlled during erasure) Unlike E PROM erasure occurs with gate grounded and applying and high voltage (1 V) to the source Prof. Gaetano Palumbo 5 SRAM 6T cell WL M M4 M5 Q M1 Q M6 M3 Access is enabled by M5 and M6 Two bit line are used (although they are not necessary, this improves noise margin during read and write operations) Prof. Gaetano Palumbo 6 13

14 6T write operation WL=V DD M 0 M4 Q=0 M5 1 M1 =1 Q=1 0 M6 M3 =0 M4, M6 is a pseudo NMOS and to toggle the memory must be its V OL < / M5 is diode connected and to toggle its source should be > / (M1 is in triode region) Prof. Gaetano Palumbo 7 β [ ( V V ) V ] V = ( V V ) p 4 DD tp OL OL β n 6 [ DD t V OL ] V OL W µ p W L 6 µ n L 4 β 5 1 VDD VDD βn VDD n V V Vt 5 ( DD to ) = W L 5 3 VDD V VDD V to t5 V DD W L Prof. Gaetano Palumbo

15 6T write operation WL=V DD M 0 M4 = C bit M5 Q=0 Q=1 M6 M1 M3 =V C bit DD The bit line are precharged to To do not destroy information we have to guarantee that the source of the diode connected M5 stay lower than / (M1 is in triode region) Prof. Gaetano Palumbo 9 β ( ) 5 1 V DD VDD β = n VDD n VDD Vto Vt 5 W L 3 V DD V ( VDD / Vt 5 ) 1 5 to V DD W L This condition is opposite to that found for writing operation, but it is stronger (to write the memory we can only satisfy the first condition) W / L 1 W / L W / L W / L W / L = W / L ( ) = ( ) = ( ) 3 = ( ) 4 = ( ) 5 ( )6 Prof. Gaetano Palumbo 30 15

16 The second bit line clamp to making extremely difficult to toggle the cell during the read operation (advantage of the dual bit-line architecture) To further prevent the toggling during the read operation the bitline are precharged / (makes impossible to reach the threshold voltage of the inverter) The transient behavior is limited by the read operation since the large bit-line capacitance must be discharged The write time is dominated by the propagation delay of the cross-coupled inverter pair Prof. Gaetano Palumbo 31 The 6T cell while simple and reliable it is areahungry (its dimension are dominated by wiring and interlayer contacts) M M4 Q Q M1 M3 M5 M6 GND WL Prof. Gaetano Palumbo 3 16

17 4T cell WL R R Q M3 M1 Q M4 M 4T cell is 1/3 lower than the 6T cell a very high and compact resistance is used which is implemented with undoped polysilicon (sheet resistance TΩ/ ) Prof. Gaetano Palumbo 33 DRAM To reduce RAM dimension we can eliminate the load which has the only function to replenish the charge lost by leakage RAM cells need a periodical refresh, which typical occurs every 1 to 4 ms Common DRAM are based on the 3T and 1T cells In DRAM the information is represented by charge stored on capacitor In contrast to SRAM, no constraint exist on the device ratio Prof. Gaetano Palumbo 34 17

18 3T cell WWL 1 RWL M1 X C s M3 M The cell is written placing the appropriate data value on 1 and asserting WWL (write word line) To read the cell the RWL (read word line) is asserted and the data is on which is precharged (or clamped to a voltage via a load) Prof. Gaetano Palumbo 35 Write and read cycle WWL 1 RWL M1 X C s M3 M WWL RWL X 1 VDD -VT -VT V Prof. Gaetano Palumbo 36 18

19 1 GND RWL M3 M WWL M1 The cell complexity is substantially reduced compared to the SRAM (576 λ compared to 109 λ ) Prof. Gaetano Palumbo 37 1T cell WL X C s C The cell is written and red asserting WL is precharged to / Prof. Gaetano Palumbo 38 19

20 Write and read cycle WL X V C = S CS + C ( V V ) PRE C C s charge transfer ratio (1% -10%) Write 1 Read 1 WL X V / DD -VT V / / sensing Prof. Gaetano Palumbo 39 C S is typically one or two order of magnitude lower than C Bl ( V around 50 mv). 1T DRAM requires sense amplifier for each bit line (in the other memory it is introduce to speed up the read-out) Unlike 3T, read-out of the 1T DRAM cell is destructive (after a successful read operation the value must be restored) The 1T cell requires the presence of extra capacitance (its minimum value is around 30 ff) To avoid the threshold voltage lost when writing a 1, bootstrapping can be used Prof. Gaetano Palumbo 40 0

21 1T DRAM using a polysilicon-diffusion capacitance n + n + Inversion layer (induced by plate bias) Cross section Layout Prof. Gaetano Palumbo 41 1

CHAPTER 16 MEMORY CIRCUITS

CHAPTER 16 MEMORY CIRCUITS CHPTER 6 MEMORY CIRCUITS Chapter Outline 6. atches and Flip-Flops 6. Semiconductor Memories: Types and rchitectures 6.3 Random-ccess Memory RM Cells 6.4 Sense-mplifier and ddress Decoders 6.5 Read-Only

More information

Memory Basics. SRAM/DRAM Basics

Memory Basics. SRAM/DRAM Basics Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

Chapter 9 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 9 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 9 Semiconductor Memories Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 2 Outline Introduction

More information

Memory. The memory types currently in common usage are:

Memory. The memory types currently in common usage are: ory ory is the third key component of a microprocessor-based system (besides the CPU and I/O devices). More specifically, the primary storage directly addressed by the CPU is referred to as main memory

More information

RAM & ROM Based Digital Design. ECE 152A Winter 2012

RAM & ROM Based Digital Design. ECE 152A Winter 2012 RAM & ROM Based Digital Design ECE 152A Winter 212 Reading Assignment Brown and Vranesic 1 Digital System Design 1.1 Building Block Circuits 1.1.3 Static Random Access Memory (SRAM) 1.1.4 SRAM Blocks in

More information

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1 Module 2 Embedded Processors and Memory Version 2 EE IIT, Kharagpur 1 Lesson 5 Memory-I Version 2 EE IIT, Kharagpur 2 Instructional Objectives After going through this lesson the student would Pre-Requisite

More information

A N. O N Output/Input-output connection

A N. O N Output/Input-output connection Memory Types Two basic types: ROM: Read-only memory RAM: Read-Write memory Four commonly used memories: ROM Flash, EEPROM Static RAM (SRAM) Dynamic RAM (DRAM), SDRAM, RAMBUS, DDR RAM Generic pin configuration:

More information

Chapter 5 :: Memory and Logic Arrays

Chapter 5 :: Memory and Logic Arrays Chapter 5 :: Memory and Logic Arrays Digital Design and Computer Architecture David Money Harris and Sarah L. Harris Copyright 2007 Elsevier 5- ROM Storage Copyright 2007 Elsevier 5- ROM Logic Data

More information

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST Flash Memories João Pela (52270), João Santos (55295) IST December 22, 2008 João Pela (52270), João Santos (55295) (IST) Flash Memories December 22, 2008 1 / 41 Layout 1 Introduction 2 How they work 3

More information

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas.

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas. Order this document by /D Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas Introduction Today s microcontroller applications are more sophisticated

More information

Handout 17. by Dr Sheikh Sharif Iqbal. Memory Unit and Read Only Memories

Handout 17. by Dr Sheikh Sharif Iqbal. Memory Unit and Read Only Memories Handout 17 by Dr Sheikh Sharif Iqbal Memory Unit and Read Only Memories Objective: - To discuss different types of memories used in 80x86 systems for storing digital information. - To learn the electronic

More information

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Wlodek Kurjanowicz DesignCon 2008 Sidense Corp 2008 Agenda Introduction: Why Embedded NVM? Embedded Memory Landscape Antifuse Memory evolution

More information

Computer Architecture

Computer Architecture Computer Architecture Random Access Memory Technologies 2015. április 2. Budapest Gábor Horváth associate professor BUTE Dept. Of Networked Systems and Services ghorvath@hit.bme.hu 2 Storing data Possible

More information

Class 18: Memories-DRAMs

Class 18: Memories-DRAMs Topics: 1. Introduction 2. Advantages and Disadvantages of DRAMs 3. Evolution of DRAMs 4. Evolution of DRAMs 5. Basics of DRAMs 6. Basics of DRAMs 7. Write Operation 8. SA-Normal Operation 9. SA-Read Operation

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

Algorithms and Methods for Distributed Storage Networks 3. Solid State Disks Christian Schindelhauer

Algorithms and Methods for Distributed Storage Networks 3. Solid State Disks Christian Schindelhauer Algorithms and Methods for Distributed Storage Networks 3. Solid State Disks Institut für Informatik Wintersemester 2007/08 Solid State Disks Motivation 2 10 5 1980 1985 1990 1995 2000 2005 2010 PRODUCTION

More information

Homework # 2. Solutions. 4.1 What are the differences among sequential access, direct access, and random access?

Homework # 2. Solutions. 4.1 What are the differences among sequential access, direct access, and random access? ECE337 / CS341, Fall 2005 Introduction to Computer Architecture and Organization Instructor: Victor Manuel Murray Herrera Date assigned: 09/19/05, 05:00 PM Due back: 09/30/05, 8:00 AM Homework # 2 Solutions

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

1.1 Silicon on Insulator a brief Introduction

1.1 Silicon on Insulator a brief Introduction Table of Contents Preface Acknowledgements Chapter 1: Overview 1.1 Silicon on Insulator a brief Introduction 1.2 Circuits and SOI 1.3 Technology and SOI Chapter 2: SOI Materials 2.1 Silicon on Heteroepitaxial

More information

FLASH TECHNOLOGY DRAM/EPROM. Flash. 1980 1982 1984 1986 1988 1990 1992 1994 1996 Year Source: Intel/ICE, "Memory 1996"

FLASH TECHNOLOGY DRAM/EPROM. Flash. 1980 1982 1984 1986 1988 1990 1992 1994 1996 Year Source: Intel/ICE, Memory 1996 10 FLASH TECHNOLOGY Overview Flash memory technology is a mix of EPROM and EEPROM technologies. The term flash was chosen because a large chunk of memory could be erased at one time. The name, therefore,

More information

With respect to the way of data access we can classify memories as:

With respect to the way of data access we can classify memories as: Memory Classification With respect to the way of data access we can classify memories as: - random access memories (RAM), - sequentially accessible memory (SAM), - direct access memory (DAM), - contents

More information

Chapter 10 Advanced CMOS Circuits

Chapter 10 Advanced CMOS Circuits Transmission Gates Chapter 10 Advanced CMOS Circuits NMOS Transmission Gate The active pull-up inverter circuit leads one to thinking about alternate uses of NMOS devices. Consider the circuit shown in

More information

Chapter 7 Memory and Programmable Logic

Chapter 7 Memory and Programmable Logic NCNU_2013_DD_7_1 Chapter 7 Memory and Programmable Logic 71I 7.1 Introduction ti 7.2 Random Access Memory 7.3 Memory Decoding 7.5 Read Only Memory 7.6 Programmable Logic Array 77P 7.7 Programmable Array

More information

SLC vs MLC: Which is best for high-reliability apps?

SLC vs MLC: Which is best for high-reliability apps? SLC vs MLC: Which is best for high-reliability apps? Here's an examination of trade-offs, with an emphasis on how they affect the reliability of storage targeted at industrial, military and avionic applications.

More information

SLC vs MLC: Proper Flash Selection for SSDs in Industrial, Military and Avionic Applications. A TCS Space & Component Technology White Paper

SLC vs MLC: Proper Flash Selection for SSDs in Industrial, Military and Avionic Applications. A TCS Space & Component Technology White Paper SLC vs MLC: Proper Flash Selection for SSDs in Industrial, Military and Avionic Applications A TCS Space & Component Technology White Paper Introduction As with most storage technologies, NAND Flash vendors

More information

1. Memory technology & Hierarchy

1. Memory technology & Hierarchy 1. Memory technology & Hierarchy RAM types Advances in Computer Architecture Andy D. Pimentel Memory wall Memory wall = divergence between CPU and RAM speed We can increase bandwidth by introducing concurrency

More information

Data remanence in Flash Memory Devices

Data remanence in Flash Memory Devices Data remanence in Flash Memory Devices Sergei Skorobogatov 1 Data remanence Residual representation of data after erasure Magnetic media SRAM and DRAM Low-temperature data remanence Long-term retention

More information

Read-only memory Implementing logic with ROM Programmable logic devices Implementing logic with PLDs Static hazards

Read-only memory Implementing logic with ROM Programmable logic devices Implementing logic with PLDs Static hazards Points ddressed in this Lecture Lecture 8: ROM Programmable Logic Devices Professor Peter Cheung Department of EEE, Imperial College London Read-only memory Implementing logic with ROM Programmable logic

More information

The MOSFET Transistor

The MOSFET Transistor The MOSFET Transistor The basic active component on all silicon chips is the MOSFET Metal Oxide Semiconductor Field Effect Transistor Schematic symbol G Gate S Source D Drain The voltage on the gate controls

More information

Yaffs NAND Flash Failure Mitigation

Yaffs NAND Flash Failure Mitigation Yaffs NAND Flash Failure Mitigation Charles Manning 2012-03-07 NAND flash is one of very few types of electronic device which are knowingly shipped with errors and are expected to generate further errors

More information

Low Power AMD Athlon 64 and AMD Opteron Processors

Low Power AMD Athlon 64 and AMD Opteron Processors Low Power AMD Athlon 64 and AMD Opteron Processors Hot Chips 2004 Presenter: Marius Evers Block Diagram of AMD Athlon 64 and AMD Opteron Based on AMD s 8 th generation architecture AMD Athlon 64 and AMD

More information

CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor

CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor Study the characteristics of energy bands as a function of applied voltage in the metal oxide semiconductor structure known

More information

Programming NAND devices

Programming NAND devices Technical Guide Programming NAND devices Kelly Hirsch, Director of Advanced Technology, Data I/O Corporation Recent Design Trends In the past, embedded system designs have used NAND devices for storing

More information

NAND Flash FAQ. Eureka Technology. apn5_87. NAND Flash FAQ

NAND Flash FAQ. Eureka Technology. apn5_87. NAND Flash FAQ What is NAND Flash? What is the major difference between NAND Flash and other Memory? Structural differences between NAND Flash and NOR Flash What does NAND Flash controller do? How to send command to

More information

1 / 25. CS 137: File Systems. Persistent Solid-State Storage

1 / 25. CS 137: File Systems. Persistent Solid-State Storage 1 / 25 CS 137: File Systems Persistent Solid-State Storage Technology Change is Coming Introduction Disks are cheaper than any solid-state memory Likely to be true for many years But SSDs are now cheap

More information

Interfacing 3V and 5V applications

Interfacing 3V and 5V applications Authors: Tinus van de Wouw (Nijmegen) / Todd Andersen (Albuquerque) 1.0 THE NEED FOR TERFACG BETWEEN 3V AND 5V SYSTEMS Many reasons exist to introduce 3V 1 systems, notably the lower power consumption

More information

Memory Systems. Static Random Access Memory (SRAM) Cell

Memory Systems. Static Random Access Memory (SRAM) Cell Memory Systems This chapter begins the discussion of memory systems from the implementation of a single bit. The architecture of memory chips is then constructed using arrays of bit implementations coupled

More information

Computer Systems Structure Main Memory Organization

Computer Systems Structure Main Memory Organization Computer Systems Structure Main Memory Organization Peripherals Computer Central Processing Unit Main Memory Computer Systems Interconnection Communication lines Input Output Ward 1 Ward 2 Storage/Memory

More information

Sequential 4-bit Adder Design Report

Sequential 4-bit Adder Design Report UNIVERSITY OF WATERLOO Faculty of Engineering E&CE 438: Digital Integrated Circuits Sequential 4-bit Adder Design Report Prepared by: Ian Hung (ixxxxxx), 99XXXXXX Annette Lo (axxxxxx), 99XXXXXX Pamela

More information

Layout of Multiple Cells

Layout of Multiple Cells Layout of Multiple Cells Beyond the primitive tier primitives add instances of primitives add additional transistors if necessary add substrate/well contacts (plugs) add additional polygons where needed

More information

5V Tolerance Techniques for CoolRunner-II Devices

5V Tolerance Techniques for CoolRunner-II Devices Application Note: Coolunner-II CPLDs XAPP429 (v1.0) August 8, 2003 5V Tolerance Techniques for Summary This document describes several different methods for interfacing 5V signals to Coolunner - II devices.

More information

Objectives. Units of Memory Capacity. CMPE328 Microprocessors (Spring 2007-08) Memory and I/O address Decoders. By Dr.

Objectives. Units of Memory Capacity. CMPE328 Microprocessors (Spring 2007-08) Memory and I/O address Decoders. By Dr. CMPE328 Microprocessors (Spring 27-8) Memory and I/O address ecoders By r. Mehmet Bodur You will be able to: Objectives efine the capacity, organization and types of the semiconductor memory devices Calculate

More information

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit Modeling Sequential Elements with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 4-1 Sequential Circuit Outputs are functions of inputs and present states of storage elements

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Outline Here we introduced () basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Circuit Logic Gate A logic gate is an elemantary building block

More information

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches).

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Pass Gate Logic n alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Switch Network Regeneration is performed via a buffer. We have already

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

Use and Application of Output Limiting Amplifiers (HFA1115, HFA1130, HFA1135)

Use and Application of Output Limiting Amplifiers (HFA1115, HFA1130, HFA1135) Use and Application of Output Limiting Amplifiers (HFA111, HFA110, HFA11) Application Note November 1996 AN96 Introduction Amplifiers with internal voltage clamps, also known as limiting amplifiers, have

More information

Introduction to CMOS VLSI Design

Introduction to CMOS VLSI Design Introduction to CMOS VLSI esign Slides adapted from: N. Weste,. Harris, CMOS VLSI esign, Addison-Wesley, 3/e, 24 Introduction Integrated Circuits: many transistors on one chip Very Large Scale Integration

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Contents. Overview... 5-1 Memory Compilers Selection Guide... 5-2

Contents. Overview... 5-1 Memory Compilers Selection Guide... 5-2 Memory Compilers 5 Contents Overview... 5-1 Memory Compilers Selection Guide... 5-2 CROM Gen... 5-3 DROM Gen... 5-9 SPSRM Gen... 5-15 SPSRM Gen... 5-22 SPRM Gen... 5-31 DPSRM Gen... 5-38 DPSRM Gen... 5-47

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat. Introduction to VLSI Programming TU/e course 2IN30 Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.Lab] Introduction to VLSI Programming Goals Create silicon (CMOS) awareness

More information

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 ELECTRONICS AND COMMUNICATION ENGINEERING Course Title VLSI DESIGN Course Code 57035 Regulation R09 COURSE DESCRIPTION Course Structure

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

Computers. Hardware. The Central Processing Unit (CPU) CMPT 125: Lecture 1: Understanding the Computer

Computers. Hardware. The Central Processing Unit (CPU) CMPT 125: Lecture 1: Understanding the Computer Computers CMPT 125: Lecture 1: Understanding the Computer Tamara Smyth, tamaras@cs.sfu.ca School of Computing Science, Simon Fraser University January 3, 2009 A computer performs 2 basic functions: 1.

More information

Class 11: Transmission Gates, Latches

Class 11: Transmission Gates, Latches Topics: 1. Intro 2. Transmission Gate Logic Design 3. X-Gate 2-to-1 MUX 4. X-Gate XOR 5. X-Gate 8-to-1 MUX 6. X-Gate Logic Latch 7. Voltage Drop of n-ch X-Gates 8. n-ch Pass Transistors vs. CMOS X-Gates

More information

CMOS Logic Integrated Circuits

CMOS Logic Integrated Circuits CMOS Logic Integrated Circuits Introduction CMOS Inverter Parameters of CMOS circuits Circuits for protection Output stage for CMOS circuits Buffering circuits Introduction Symetrical and complementary

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

Semiconductor Memory Design

Semiconductor Memory Design CHAPTER 8 Semiconductor Memory Design CHAPTER OUTLINE 8.1 Introduction 8.2 MOS Decoders 8.3 Static RAM Cell Design 8.4 SRAM Column I/O Circuitry 8.5 Memory Architecture 8.6 Summary References Prolems 8.1

More information

GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8

GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8 GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8 Robert G. Brown All Rights Reserved August 25, 2000 Alta Engineering 58 Cedar Lane New Hartford, CT 06057-2905 (860) 489-8003 www.alta-engineering.com

More information

Flash Memory Jan Genoe KHLim Universitaire Campus, Gebouw B 3590 Diepenbeek Belgium

Flash Memory Jan Genoe KHLim Universitaire Campus, Gebouw B 3590 Diepenbeek Belgium Flash Memory Jan Genoe KHLim Universitaire Campus, Gebouw B 3590 Diepenbeek Belgium http://www.khlim.be/~jgenoe [1] http://en.wikipedia.org/wiki/flash_memory Geheugen 1 Product evolution Jan Genoe: Geheugen

More information

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1.

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. File: chap04, Chapter 04 1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. 2. True or False? A gate is a device that accepts a single input signal and produces one

More information

Large-Capacity Flash Memories and Their Application to Flash Cards

Large-Capacity Flash Memories and Their Application to Flash Cards Large-Capacity Flash Memories and Their Application to Flash Cards 68 Large-Capacity Flash Memories and Their Application to Flash Cards Takashi Totsuka Kazunori Furusawa OVERVIEW: Flash cards using flash

More information

CHAPTER 7: The CPU and Memory

CHAPTER 7: The CPU and Memory CHAPTER 7: The CPU and Memory The Architecture of Computer Hardware, Systems Software & Networking: An Information Technology Approach 4th Edition, Irv Englander John Wiley and Sons 2010 PowerPoint slides

More information

Local Heating Attacks on Flash Memory Devices. Dr Sergei Skorobogatov

Local Heating Attacks on Flash Memory Devices. Dr Sergei Skorobogatov Local Heating Attacks on Flash Memory Devices Dr Sergei Skorobogatov http://www.cl.cam.ac.uk/~sps32 email: sps32@cam.ac.uk Introduction Semi-invasive attacks were introduced in 2002 ( Optical fault induction

More information

MOS Transistors as Switches

MOS Transistors as Switches MOS Transistors as Switches G (gate) nmos transistor: Closed (conducting) when Gate = 1 (V DD ) D (drain) S (source) Oen (non-conducting) when Gate = 0 (ground, 0V) G MOS transistor: Closed (conducting)

More information

Sequential Circuit Design

Sequential Circuit Design Sequential Circuit Design Lan-Da Van ( 倫 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2009 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines

More information

Memory Testing. Memory testing.1

Memory Testing. Memory testing.1 Memory Testing Introduction Memory Architecture & Fault Models Test Algorithms DC / AC / Dynamic Tests Built-in Self Testing Schemes Built-in Self Repair Schemes Memory testing.1 Memory Market Share in

More information

CO2005: Electronics I (FET) Electronics I, Neamen 3th Ed. 1

CO2005: Electronics I (FET) Electronics I, Neamen 3th Ed. 1 CO2005: Electronics I The Field-Effect Transistor (FET) Electronics I, Neamen 3th Ed. 1 MOSFET The metal-oxide-semiconductor field-effect transistor (MOSFET) becomes a practical reality in the 1970s. The

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

CMOS, the Ideal Logic Family

CMOS, the Ideal Logic Family CMOS, the Ideal Logic Family INTRODUCTION Let s talk about the characteristics of an ideal logic family. It should dissipate no power, have zero propagation delay, controlled rise and fall times, and have

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Table 1: Address Table

Table 1: Address Table DDR SDRAM DIMM D32PB12C 512MB D32PB1GJ 1GB For the latest data sheet, please visit the Super Talent Electronics web site: www.supertalentmemory.com Features 184-pin, dual in-line memory module (DIMM) Fast

More information

Analog & Digital Electronics Course No: PH-218

Analog & Digital Electronics Course No: PH-218 Analog & Digital Electronics Course No: PH-218 Lec-28: Logic Gates & Family Course Instructor: Dr. A. P. VAJPEYI Department of Physics, Indian Institute of Technology Guwahati, India 1 Digital Logic Gates

More information

SLC vs MLC NAND and The Impact of Technology Scaling. White paper CTWP010

SLC vs MLC NAND and The Impact of Technology Scaling. White paper CTWP010 SLC vs MLC NAND and The mpact of Technology Scaling White paper CTWP010 Cactus Technologies Limited Suite C, 15/F, Capital Trade Center 62 Tsun Yip Street, Kwun Tong Kowloon, Hong Kong Tel: +852-2797-2277

More information

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i Layout and Cross-section of an inverter Lecture 5 A Layout Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London V DD Q p A V i V o URL: www.ee.ic.ac.uk/pcheung/

More information

Low Power and Reliable SRAM Memory Cell and Array Design

Low Power and Reliable SRAM Memory Cell and Array Design Springer Series in Advanced Microelectronics 31 Low Power and Reliable SRAM Memory Cell and Array Design Bearbeitet von Koichiro Ishibashi, Kenichi Osada 1. Auflage 2011. Buch. XI, 143 S. Hardcover ISBN

More information

Long Term Data Retention of Flash Cells Used in Critical Applications

Long Term Data Retention of Flash Cells Used in Critical Applications Office of the Secretary of Defense National Aeronautics and Space Administration Long Term Data Retention of Flash Cells Used in Critical Applications Keith Bergevin (DMEA) Rich Katz (NASA) David Flowers

More information

LM139/LM239/LM339/LM2901/LM3302 Low Power Low Offset Voltage Quad Comparators

LM139/LM239/LM339/LM2901/LM3302 Low Power Low Offset Voltage Quad Comparators Low Power Low Offset Voltage Quad Comparators General Description The LM139 series consists of four independent precision voltage comparators with an offset voltage specification as low as 2 mv max for

More information

AP331A XX G - 7. Lead Free G : Green. Packaging (Note 2)

AP331A XX G - 7. Lead Free G : Green. Packaging (Note 2) Features General Description Wide supply Voltage range: 2.0V to 36V Single or dual supplies: ±1.0V to ±18V Very low supply current drain (0.4mA) independent of supply voltage Low input biasing current:

More information

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures Sheng Li, Junh Ho Ahn, Richard Strong, Jay B. Brockman, Dean M Tullsen, Norman Jouppi MICRO 2009

More information

Supply voltage Supervisor TL77xx Series. Author: Eilhard Haseloff

Supply voltage Supervisor TL77xx Series. Author: Eilhard Haseloff Supply voltage Supervisor TL77xx Series Author: Eilhard Haseloff Literature Number: SLVAE04 March 1997 i IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

DRG-Cache: A Data Retention Gated-Ground Cache for Low Power 1

DRG-Cache: A Data Retention Gated-Ground Cache for Low Power 1 DRG-Cache: A Data Retention Gated-Ground Cache for Low Power 1 ABSTRACT In this paper we propose a novel integrated circuit and architectural level techniue to reduce leakage power consumption in high

More information

ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-17: Memory organisation, and types of memory

ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-17: Memory organisation, and types of memory ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-17: Memory organisation, and types of memory 1 1. Memory Organisation 2 Random access model A memory-, a data byte, or a word, or a double

More information

Cable Discharge Event

Cable Discharge Event Cable Discharge Event 1.0 Introduction The widespread use of electronic equipment in various environments exposes semiconductor devices to potentially destructive Electro Static Discharge (ESD). Semiconductor

More information

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Basic Properties of a Digital Design These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Which of these criteria is important

More information

MM74HC4538 Dual Retriggerable Monostable Multivibrator

MM74HC4538 Dual Retriggerable Monostable Multivibrator MM74HC4538 Dual Retriggerable Monostable Multivibrator General Description The MM74HC4538 high speed monostable multivibrator (one shots) is implemented in advanced silicon-gate CMOS technology. They feature

More information

Gates, Circuits, and Boolean Algebra

Gates, Circuits, and Boolean Algebra Gates, Circuits, and Boolean Algebra Computers and Electricity A gate is a device that performs a basic operation on electrical signals Gates are combined into circuits to perform more complicated tasks

More information

Chapter 2 Sources of Variation

Chapter 2 Sources of Variation Chapter 2 Sources of Variation Variations in process, supply voltage and temperature (PVT) have always been an issue in Integrated Circuit (IC) Design. In digital circuits, PVT fluctuations affect the

More information

Digital Integrated Circuit (IC) Layout and Design - Week 3, Lecture 5

Digital Integrated Circuit (IC) Layout and Design - Week 3, Lecture 5 igital Integrated Circuit (IC) Layout and esign - Week 3, Lecture 5! http://www.ee.ucr.edu/~rlake/ee134.html EE134 1 Reading and Prelab " Week 1 - Read Chapter 1 of text. " Week - Read Chapter of text.

More information

State-of-the-Art Flash Memory Technology, Looking into the Future

State-of-the-Art Flash Memory Technology, Looking into the Future State-of-the-Art Flash Memory Technology, Looking into the Future April 16 th, 2012 大 島 成 夫 (Jeff Ohshima) Technology Executive Memory Design and Application Engineering Semiconductor and Storage Products

More information

MICROPROCESSOR BCA IV Sem MULTIPLE CHOICE QUESTIONS

MICROPROCESSOR BCA IV Sem MULTIPLE CHOICE QUESTIONS MICROPROCESSOR BCA IV Sem MULTIPLE CHOICE QUESTIONS 1) Which is the microprocessor comprises: a. Register section b. One or more ALU c. Control unit 2) What is the store by register? a. data b. operands

More information

CS250 VLSI Systems Design Lecture 8: Memory

CS250 VLSI Systems Design Lecture 8: Memory CS250 VLSI Systems esign Lecture 8: Memory John Wawrzynek, Krste Asanovic, with John Lazzaro and Yunsup Lee (TA) UC Berkeley Fall 2010 CMOS Bistable 1 0 Flip State 0 1 Cross-coupled inverters used to hold

More information

User s Manual HOW TO USE DDR SDRAM

User s Manual HOW TO USE DDR SDRAM User s Manual HOW TO USE DDR SDRAM Document No. E0234E30 (Ver.3.0) Date Published April 2002 (K) Japan URL: http://www.elpida.com Elpida Memory, Inc. 2002 INTRODUCTION This manual is intended for users

More information

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm STMicroelectronics Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI SOI Processes 130nm, 65nm SiGe 130nm CMP Process Portfolio from ST Moore s Law 130nm CMOS : HCMOS9GP More than Moore

More information

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology Topics of Chapter 5 Sequential Machines Memory elements Memory elements. Basics of sequential machines. Clocking issues. Two-phase clocking. Testing of combinational (Chapter 4) and sequential (Chapter

More information