1. Submission Rules. 2. Verification tools. 3. Frequent errors

Size: px
Start display at page:

Download "1. Submission Rules. 2. Verification tools. 3. Frequent errors"

Transcription

1 Design Submission 1. Submission Rules 2. Verification tools 3. Frequent errors

2 Design submission rules 1. Send the submission form in the same time as the circuit database 2. The GDSII file must have a.gds or.gds.gz extension 3. Provide a.info text file containing : designer and institution names, , phone number 4. Give in the.info the GDSII check sum of the database sent 5. The topcell name must be identical to the GDSII file : TOP_CELL.gds 6. Rename the topcell for each new version : TOP_CELL_V2 7. Do not use the standard cell names for your own cells Rename yours into MY_INV0, MY_ICP

3 Verification tools DRC verification tools DIVA and ASSURA, on cadence DIVA and ASSURA work on the database Mostly used for interactive verification Calibre, on Mentor Calibre works on the GDSII file Mostly used for final verifications since it is the «sign-off» tools for the foundries (austriamicrosystems, STMicroelectronics) ote: Techno files are included in the Design Kits distributed by CMP

4 Compatibility between DIVA and CALIBRE With DIVA and Assura, the errors appear on Cadence on a blinking Marker layer Calibre generates an ASCII DRC result file. The RVE interface can be used on Cadence. CMP provide a file (generated from the ASCII file) which enables to see the errors with Cadence on the same layer as DIVA.

5 Frequent errors Antenna Generated layers Path Wide-Metal Off-grid Resistors L/W ratio Metal density Logo VIA / CONT Substrate / Wells connections Metal Slots Hot NTUB Floating Gates

6 Antenna errors Problem during the fabrication Connection surface There is a ratio to be respected: Gates surface G D S

7 Antenna correction (1) Antenna error correction: 1 st solution Make a bridge with a upper metal layer MET1 / VIA / MET2 / VIA / MET1

8 Antenna correction (2) Antenna error correction: 2 nd solution Place a minimum diode N+diff / Substrate near the transistor gate

9 Generated layers 0.35 µm CMOS FIMP, NLDD et NLDD50 La couche FIMP est générée à partir de la couche NTUB La couche NLDD est générée à partir de la couche NPLUS La couche NLDD50 est générée à partir de la couche NPLUS et MIDOX

10 WIDE-METAL MET to WIDE_MET Spacing > 10 µm D D

11 Errors on PATH 45 PATH introduce off grid errors PATH length: even number of grid pitch

12 Frequent errors Off grid : µm grid in 0.35 µm Square L / W: the ratio should be 5 for resistors Metal / Poly density Polarization contact Must be placed every 50 µm NWell polarized to VDD PWell (substrate) polarized to GND

13 Logo CMP delete non standard logos (resin and metal ) Make a simple logo with 1 VIA by polygon

14 VIA / CONT Size VIA : Imposed in 0.35 µm CONT : Imposed in 0.35 µm MET1 Poly1 Oxide Diffusion Substrate

15 Substrate / Wells connections Vdd R Recommended

16 Metal Slots Make openings in the wide metal Increase the metal and resin adherence Decrease the electro migration effects W > 10 µ Staggered metal slot W < 10 µ W > 10 µ Divide in smaller paths

17 Hot NTUB / Floating Gates Add Vdd and Gnd labels on all the pads Using PIN pd layer At the topcell level With this syntax: vdd3, gnd4

CADENCE LAYOUT TUTORIAL

CADENCE LAYOUT TUTORIAL CADENCE LAYOUT TUTORIAL Creating Layout of an inverter from a Schematic: Open the existing Schematic Page 1 From the schematic editor window Tools >Design Synthesis >Layout XL A window for startup Options

More information

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm STMicroelectronics Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI SOI Processes 130nm, 65nm SiGe 130nm CMP Process Portfolio from ST Moore s Law 130nm CMOS : HCMOS9GP More than Moore

More information

Design-Kits, Libraries & IPs

Design-Kits, Libraries & IPs Design-Kits, Libraries & IPs Supported CAD tools Design-kits overview Digital, Analog, and RF Libraries IPs Supported CAD tools Design-kits overview ST 65nm Tanner PDK Standard cell Libraries IPs austriamicrosystems

More information

Interactive Interface USERS /CMP

Interactive Interface USERS /CMP Web site: http://cmp.imag.fr General informations Specific informations and forms Interface Users / CMP General informations Web site: Home page Quick References News Upcoming RUNS Customers login General

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Interactive Interface USERS /CMP

Interactive Interface USERS /CMP Web site: http://cmp.imag.fr General informations Specific informations and forms Interface Users / CMP General informations Web site: Home page Quick References News Upcoming RUNS Customers login General

More information

Titre: Required Information For Submitting Databases to TELEDYNE DALSA Design & Product Support.

Titre: Required Information For Submitting Databases to TELEDYNE DALSA Design & Product Support. Titre: Required Information For Submitting Databases to TELEDYNE DALSA Design & Product Support. Document : DES-0002.11 Création du document : December 22th, 2004 Bromont, Québec, Canada 2 DE 7 Database

More information

MEMS Processes from CMP

MEMS Processes from CMP MEMS Processes from CMP MUMPS from MEMSCAP Bulk Micromachining 1 / 19 MEMSCAP MUMPS processes PolyMUMPS SOIMUMPS MetalMUMPS 2 / 19 MEMSCAP Standard Processes PolyMUMPs 8 lithography levels, 7 physical

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i Layout and Cross-section of an inverter Lecture 5 A Layout Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London V DD Q p A V i V o URL: www.ee.ic.ac.uk/pcheung/

More information

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis August 17, 2006 Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Lab 3 Layout Using Virtuoso Layout XL (VXL)

Lab 3 Layout Using Virtuoso Layout XL (VXL) Lab 3 Layout Using Virtuoso Layout XL (VXL) This Lab will go over: 1. Creating layout with Virtuoso layout XL (VXL). 2. Transistor Chaining. 3. Creating Standard cell. 4. Manual Routing 5. Providing Substrate

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

Analog control unit for mobile robots

Analog control unit for mobile robots Analog control unit for mobile robots Soldering kit for experimentation For Fischertechnik robots and others Most diverse functions Requires no programming Patented sensor technology Summary We are pleased

More information

Royal Military College of Canada

Royal Military College of Canada Microelectronics Lab Cadence Tutorials Layout Design and Simulation (Using Virtuoso / Diva / Analog Artist) Department of Electrical & Computer Engineering Royal Military College of Canada Cadence University

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets.

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets. INF4420 Layout and CMOS processing technology Spring 2012 1 / 76 Outline CMOS Fabrication overview Design rules Layout of passive and active componets Packaging 2 / 76 Introduction As circuit designers

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

IL2225 Physical Design

IL2225 Physical Design IL2225 Physical Design Nasim Farahini farahini@kth.se Outline Physical Implementation Styles ASIC physical design Flow Floor and Power planning Placement Clock Tree Synthesis Routing Timing Analysis Verification

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

Procedure to get a design kit

Procedure to get a design kit Design kits Procedure to get a design kit Design kits available at CMP Design kits distribution Procedure to handle DK requests Customer request : http://cmp.imag.fr Design kit request form http://cmp.imag.fr/

More information

How To Integrate 3D-Ic With A Multi Layer 3D Chip

How To Integrate 3D-Ic With A Multi Layer 3D Chip 3D-IC Integration Developments Cooperation for servicing and MPW runs offering Agenda Introduction Process overview Partnership for MPW runs service 3D-IC Design Platform First MPW run Conclusion 3D-IC

More information

Tanner EDA L-edit (Layout Editor)

Tanner EDA L-edit (Layout Editor) Tanner EDA L-edit (Layout Editor) Tanner Tools Speeding Concept to Silicon EDA= Electronic Design and Automation NOTE: This tutorial was constructed in L-edit version 1.15 (c. October 2007) http://www.tanner.com/eda/

More information

The MOSFET Transistor

The MOSFET Transistor The MOSFET Transistor The basic active component on all silicon chips is the MOSFET Metal Oxide Semiconductor Field Effect Transistor Schematic symbol G Gate S Source D Drain The voltage on the gate controls

More information

CILOMAG & SPIN Projects. CMOS / Magnetic Integration

CILOMAG & SPIN Projects. CMOS / Magnetic Integration CILOMAG & SPIN Projects Spintronics Platform for Innovative Nanotechnology CMOS / Magnetic Integration Developments and results at CMP Introduction : The MTJ structure CMOS - MTJ Process CMOS process done

More information

Manufacturing Test of 3D Stacked ICs: Problems, Solutions and Standards

Manufacturing Test of 3D Stacked ICs: Problems, Solutions and Standards Manufacturing Test of 3D Stacked ICs: Problems, Solutions and Standards Yassine Fkih Hakim Zimouche Giorgio Di Natale Marie-Lise Flottes Bruno Rouzeyre Pascal Vivet 27 June, 2013 MASTER 3D 3D-SICs Test

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

Introduction to CMOS VLSI Design

Introduction to CMOS VLSI Design Introduction to CMOS VLSI esign Slides adapted from: N. Weste,. Harris, CMOS VLSI esign, Addison-Wesley, 3/e, 24 Introduction Integrated Circuits: many transistors on one chip Very Large Scale Integration

More information

KiCad Step by Step Tutorial

KiCad Step by Step Tutorial KiCad Step by Step Tutorial Copyright 2006 David Jahshan: kicad at iridec.com.au Copyright: Please freely copy and distribute (sell or give away) this document in any format. Send any corrections and comments

More information

Net Ties and How to Use Them

Net Ties and How to Use Them Net Ties and How to Use Them Summary The Net Tie is a component type which allows for shorting together various nets in a design. The graphic for the symbol can be as simple as two component pins representing

More information

Simulation and Design Route Development for ADEPT-SiP

Simulation and Design Route Development for ADEPT-SiP Simulation and Design Route Development for ADEPT-SiP Alaa Abunjaileh, Peng Wong and Ian Hunter The Institute of Microwaves and Photonics School of Electronic and Electrical Engineering The University

More information

MOSIS Scalable CMOS (SCMOS)

MOSIS Scalable CMOS (SCMOS) Vendor-independent, scalable rules (MOSIS SCMOS s) Design s MOSIS Scalable CMOS (SCMOS) (Revision 8.00) Updated: May 11, 2009 1. Introduction This document defines the official MOSIS scalable CMOS (SCMOS)

More information

EMC / EMI issues for DSM: new challenges

EMC / EMI issues for DSM: new challenges EMC / EMI issues for DSM: new challenges A. Boyer, S. Ben Dhia, A. C. Ndoye INSA Toulouse Université de Toulouse / LATTIS, France www.ic-emc.org Long Term Reliability in DSM, 3rd October, 2008 www.ic-emc.org

More information

INTERNATIONAL ATOMIC ENERGY AGENCY INSTRUMENTATION UNIT SMD (SURFACE MOUNTED DEVICES) REPAIR S. WIERZBINSKI FEBRUARY 1999

INTERNATIONAL ATOMIC ENERGY AGENCY INSTRUMENTATION UNIT SMD (SURFACE MOUNTED DEVICES) REPAIR S. WIERZBINSKI FEBRUARY 1999 (SURFACE MOUNTED DEVICES) REPAIR S. WIERZBINSKI FEBRUARY 1999 (SURFACE MOUNTED DEVICES) REPAIR 1 TABLE OF CONTENTS PAGE 1. INTRODUCTION 3 2. ADVANTAGES 4 3. LIMITATIONS 4 4. DIALECT 5 5. SIZES AND DIMENSIONS

More information

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

HCF4081B QUAD 2 INPUT AND GATE

HCF4081B QUAD 2 INPUT AND GATE QUAD 2 INPUT AND GATE MEDIUM SPEED OPERATION : t PD = 60ns (Typ.) at 10 QUIESCENT CURRENT SPECIFIED UP TO 20 5, 10 AND 15 PARAMETRIC RATINGS INPUT LEAKAGE CURRENT I I = 100nA (MAX) AT DD = 18 T A = 25

More information

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization TingTing Hwang Tsing Hua University, Hsin-Chu 1 Outline Introduction Engineering Change Order (ECO) Voltage drop (IR-DROP) New design

More information

Magic Maintainer s Manual #2: The Technology File

Magic Maintainer s Manual #2: The Technology File Magic Maintainer s Manual #2: The Technology File Walter Scott Special Studies Program Lawrence Livermore National Laboratory P.O. Box 808, L-270 Livermore, CA 94550 John Ousterhout Computer Science Division

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

ISC Dual Frequency WFS RFPD Test Procedure:

ISC Dual Frequency WFS RFPD Test Procedure: LASER INTERFEROMETER GRAVITATIONAL WAVE OBSERVATORY LIGO Laboratory / LIGO Scientific Collaboration LIGO 08 January 2013 ISC Dual Frequency WFS RFPD Test Procedure: Richard Abbott Distribution of this

More information

Graser User Conference Only

Graser User Conference Only Miniaturization- Rigid-Flex Design with Allegro Jonathan Lee / Graser 31/Oct/2014 Rigid-Flex Design with Allegro Miniaturization Design Miniaturization through Rigid-Flex Rigid-Flex Design Flow Miniaturization

More information

IBS - Ion Beam Services

IBS - Ion Beam Services IBS - Ion Beam Services Profile Technologies Devices & sensor fabricat ion Participation to R&D programs Researched partnership Présentation activité composant 1 Profile : Products and services Product

More information

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach)

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach) CONTENTS Preface. Energy Band Theory.. Electron in a crystal... Two examples of electron behavior... Free electron...2. The particle-in-a-box approach..2. Energy bands of a crystal (intuitive approach)..3.

More information

.OPERATING SUPPLY VOLTAGE UP TO 46 V

.OPERATING SUPPLY VOLTAGE UP TO 46 V L298 DUAL FULL-BRIDGE DRIVER.OPERATING SUPPLY VOLTAGE UP TO 46 V TOTAL DC CURRENT UP TO 4 A. LOW SATURATION VOLTAGE OVERTEMPERATURE PROTECTION LOGICAL "0" INPUT VOLTAGE UP TO 1.5 V (HIGH NOISE IMMUNITY)

More information

Electronic Circuit Construction:

Electronic Circuit Construction: Electronic Circuit Construction: Various methods are used for building electronic circuits. The method that you choose depends on a number of factors, including the resources available to you and whether

More information

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Wlodek Kurjanowicz DesignCon 2008 Sidense Corp 2008 Agenda Introduction: Why Embedded NVM? Embedded Memory Landscape Antifuse Memory evolution

More information

Application Note AN1

Application Note AN1 TAKING INVENTIVE STEPS IN INFRARED. MINIATURE INFRARED GAS SENSORS GOLD SERIES UK Patent App. No. 799A USA Patent App. No. 9/78,7 World Patents Pending SENSOR OVERVIEW Application Note AN The Dynament

More information

Martin County Amateur Radio Association. Nightfire Kits 1 LED Torch Kit 270016. Contents. Description

Martin County Amateur Radio Association. Nightfire Kits 1 LED Torch Kit 270016. Contents. Description Nightfire Kits 1 LED Torch Kit 270016 1 Contents Nightfire Kits LED Torch Kit 270016... 1 Description... 1 Safety and Assembly of the kit... 6 Required and Useful Tools... 7 Assembly... 8 Checkout and

More information

Getting Started with the Cadence Software

Getting Started with the Cadence Software 1 Getting Started with the Cadence Software In this chapter, you learn about the Cadence software environment and the Virtuoso layout editor as you do the following tasks: Copying the Tutorial Database

More information

CCD and CMOS Image Sensor Technologies. Image Sensors

CCD and CMOS Image Sensor Technologies. Image Sensors CCD and CMOS Image Sensor Technologies Image Sensors There are Two Main types of Image Sensors are available today: CCD and CMOS Both were originally developed in the late 1960 s and 1970 s Defining Some

More information

Digital IC Design Flow

Digital IC Design Flow Collège Militaire Royal du Canada (Cadence University Alliance Program Member) Department of Electrical and Computer Engineering Départment de Génie Electrique et Informatique RMC Microelectronics Lab

More information

Document Contents Introduction Layout Extraction with Parasitic Capacitances Timing Analysis DC Analysis

Document Contents Introduction Layout Extraction with Parasitic Capacitances Timing Analysis DC Analysis Cadence Tutorial C: Simulating DC and Timing Characteristics Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group rev S06 (convert to spectre simulator) Document Contents Introduction

More information

Incremental Technology Database (ITDB) Usage and Practices

Incremental Technology Database (ITDB) Usage and Practices Incremental Technology Database (ITDB) Usage and Practices Ted Paone Core Comp Architect IC6.1 Adoption Cadence Design Systems CDN Live EMEA Session 1.1 CDN Live, EMEA Incremental Technology Data Practices

More information

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

FLASH TECHNOLOGY DRAM/EPROM. Flash. 1980 1982 1984 1986 1988 1990 1992 1994 1996 Year Source: Intel/ICE, "Memory 1996"

FLASH TECHNOLOGY DRAM/EPROM. Flash. 1980 1982 1984 1986 1988 1990 1992 1994 1996 Year Source: Intel/ICE, Memory 1996 10 FLASH TECHNOLOGY Overview Flash memory technology is a mix of EPROM and EEPROM technologies. The term flash was chosen because a large chunk of memory could be erased at one time. The name, therefore,

More information

ECE 410: VLSI Design Course Introduction

ECE 410: VLSI Design Course Introduction ECE 410: VLSI Design Course Introduction Professor Andrew Mason Michigan State University Spring 2008 ECE 410, Prof. A. Mason Lecture Notes Page i.1 Age of electronics microcontrollers, DSPs, and other

More information

CDNLive! 2007 CONFERENCE 2007 Santa Clara, CA. Comprehensive PCell Verification System Using Taguchi Method

CDNLive! 2007 CONFERENCE 2007 Santa Clara, CA. Comprehensive PCell Verification System Using Taguchi Method Comprehensive PCell Verification System Using Taguchi Method MT Lau Victer Chong National Semiconductor Corporation 00-(606)-2306632 MT.Lau@nsc.com Victer.chong@nsc.com CDNLive! 2007 CONFERENCE 2007 Santa

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Outline Here we introduced () basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Circuit Logic Gate A logic gate is an elemantary building block

More information

Evaluating AC Current Sensor Options for Power Delivery Systems

Evaluating AC Current Sensor Options for Power Delivery Systems Evaluating AC Current Sensor Options for Power Delivery Systems State-of-the-art isolated ac current sensors based on CMOS technology can increase efficiency, performance and reliability compared to legacy

More information

OrCad Layout Plus PCB Tutorial

OrCad Layout Plus PCB Tutorial OrCad Layout Plus PCB Tutorial R. B. Reese (9/2005), ECE, MSU. RBR/V 0.5 1 OrCad Layout Plus PCB Tutorial This is a simple tutorial of OrCAD PCB using a two-layer PCB with throughhole components. The design

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

Statistical Models for Hot Electron Degradation in Nano-Scaled MOSFET Devices

Statistical Models for Hot Electron Degradation in Nano-Scaled MOSFET Devices 2006, 대한 산업공학회 추계학술대회 Session C3 : Statistical models Statistical Models for Hot Electron Degradation in Nano-Scaled MOSFET Devices Seong-joon Kim, Suk Joo Bae Dept. of Industrial Engineering, Hanyang

More information

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004 How to Build a Printed Circuit Board 1 This presentation is a work in progress. As methods and processes change it will be updated accordingly. It is intended only as an introduction to the production

More information

CpE358/CS381. Switching Theory and Logical Design. Class 4

CpE358/CS381. Switching Theory and Logical Design. Class 4 Switching Theory and Logical Design Class 4 1-122 Today Fundamental concepts of digital systems (Mano Chapter 1) Binary codes, number systems, and arithmetic (Ch 1) Boolean algebra (Ch 2) Simplification

More information

step 1 Unpack the lunchbox And check whether you have got all the components~ If you have questions please contact us at: info@unitunlikely.

step 1 Unpack the lunchbox And check whether you have got all the components~ If you have questions please contact us at: info@unitunlikely. step 1 Unpack the lunchbox And check whether you have got all the components~ If you have questions please contact us at: info@unitunlikely.com This part is called the PCB (printed circuit board). All

More information

AMPLIFIERS BJT BJT TRANSISTOR. Types of BJT BJT. devices that increase the voltage, current, or power level

AMPLIFIERS BJT BJT TRANSISTOR. Types of BJT BJT. devices that increase the voltage, current, or power level AMPLFERS Prepared by Engr. JP Timola Reference: Electronic Devices by Floyd devices that increase the voltage, current, or power level have at least three terminals with one controlling the flow between

More information

GaAs Switch ICs for Cellular Phone Antenna Impedance Matching

GaAs Switch ICs for Cellular Phone Antenna Impedance Matching GaAs Switch ICs for Cellular Phone Antenna Impedance Matching IWATA Naotaka, FUJITA Masanori Abstract Recently cellular phones have been advancing toward multi-band and multi-mode phones and many of them

More information

ETIN25 Analogue IC Design. Laboratory Manual Lab 1

ETIN25 Analogue IC Design. Laboratory Manual Lab 1 Department of Electrical and Information Technology LTH ETIN25 Analogue IC Design Laboratory Manual Lab 1 Jonas Lindstrand Martin Liliebladh Markus Törmänen September 2011 Laboratory 1: Cadence, DC parameters

More information

Portable Cell Phone Charger: Design and Manufacturing

Portable Cell Phone Charger: Design and Manufacturing Portable Cell Phone Charger: Design and Manufacturing Miguel Contreras Junior Electrical Engineering California Polytechnic State University 1926 Canyon Circle San Luis Obispo, CA 93410-1710 (408) 655-5010

More information

Layout of Multiple Cells

Layout of Multiple Cells Layout of Multiple Cells Beyond the primitive tier primitives add instances of primitives add additional transistors if necessary add substrate/well contacts (plugs) add additional polygons where needed

More information

Low Power AMD Athlon 64 and AMD Opteron Processors

Low Power AMD Athlon 64 and AMD Opteron Processors Low Power AMD Athlon 64 and AMD Opteron Processors Hot Chips 2004 Presenter: Marius Evers Block Diagram of AMD Athlon 64 and AMD Opteron Based on AMD s 8 th generation architecture AMD Athlon 64 and AMD

More information

Preface xiii Introduction xv 1 Planning for surface mount design General electronic products 3 Dedicated service electronic products 3 High-reliability electronic products 4 Defining the environmental

More information

NIS-Elements: Using Regions of Interest (ROIs) & ROI Statistics

NIS-Elements: Using Regions of Interest (ROIs) & ROI Statistics NIS-Elements: Using Regions of Interest (ROIs) & ROI Statistics Various ROI tools and functions NIS-Elements has several ROI functions designed for data analysis and image quantification. ROIs are a core

More information

Bluetooth + USB 16 Servo Controller [RKI-1005 & RKI-1205]

Bluetooth + USB 16 Servo Controller [RKI-1005 & RKI-1205] Bluetooth + USB 16 Servo Controller [RKI-1005 & RKI-1205] Users Manual Robokits India info@robokits.co.in http://www.robokitsworld.com Page 1 Bluetooth + USB 16 Servo Controller is used to control up to

More information

What is surface mount?

What is surface mount? A way of attaching electronic components to a printed circuit board The solder joint forms the mechanical and electrical connection What is surface mount? Bonding of the solder joint is to the surface

More information

Semiconductor Memories

Semiconductor Memories Semiconductor Memories Semiconductor memories array capable of storing large quantities of digital information are essential to all digital systems Maximum realizable data storage capacity of a single

More information

Digital Integrated Circuit (IC) Layout and Design - Week 3, Lecture 5

Digital Integrated Circuit (IC) Layout and Design - Week 3, Lecture 5 igital Integrated Circuit (IC) Layout and esign - Week 3, Lecture 5! http://www.ee.ucr.edu/~rlake/ee134.html EE134 1 Reading and Prelab " Week 1 - Read Chapter 1 of text. " Week - Read Chapter of text.

More information

CMOS VLSI Design Lab 1: Cell Design and Verification

CMOS VLSI Design Lab 1: Cell Design and Verification CMOS VLSI Design Lab 1: Cell Design and Verification This is the first of four chip design labs developed at Harvey Mudd College. These labs are intended to be used in conjunction with CMOS VLSI Design,

More information

AUTOMATIC CALL RECORDER JAMECO PART NO. 2163735

AUTOMATIC CALL RECORDER JAMECO PART NO. 2163735 AUTOMATIC CALL RECORDER JAMECO PART NO. 2163735 Experience Level: Intermediate Time Required: 1-2 Hours This project automatically records phone calls. The program, along with the adapter records each

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

SSD1298. Advance Information. 240 RGB x 320 TFT LCD Controller Driver integrated Power Circuit, Gate and Source Driver with built-in RAM

SSD1298. Advance Information. 240 RGB x 320 TFT LCD Controller Driver integrated Power Circuit, Gate and Source Driver with built-in RAM SOLOMON SYSTECH SEMICONDUCTOR TECHNICAL DATA SSD1298 Advance Information 240 RGB x 320 TFT LCD Controller Driver integrated Power Circuit, Gate and Source Driver with built-in RAM This document contains

More information

FABRICATION 2011 SERVICES TECHNOLOGIES CAPABILITIES INDUSTRY

FABRICATION 2011 SERVICES TECHNOLOGIES CAPABILITIES INDUSTRY FABRICATION 2011 SERVICES 24HRS - 5 DAYS ON QUICK TURN PROTOTYPE Dear Customer, We would like to take this opportunity to welcome you and thank you for looking to ASA PCB as your Printed Circuit Manufacturing

More information

Influence of the Socket on Chip-level ESD Testing

Influence of the Socket on Chip-level ESD Testing 266 PIERS Proceedings, Guangzhou, China, August 25 28, 2014 Influence of the Socket on Chip-level ESD Testing Yu Xiao 1, Jiancheng Li 2, Jianfei Wu 2, Yunzhi Kang 3, and Jianwei Su 1 1 P. O. Box 9010,

More information

Webinar HDI Microvia Technology Cost Aspects

Webinar HDI Microvia Technology Cost Aspects Webinar HDI Microvia Technology Cost Aspects www.we-online.com HDI - Cost Aspects Seite 1 1 July, 2014 Agenda - Webinar HDI Microvia Technology Cost Aspects Reasons for the use of HDI technology Printed

More information

CMOS Power Consumption and C pd Calculation

CMOS Power Consumption and C pd Calculation CMOS Power Consumption and C pd Calculation SCAA035B June 1997 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor product or

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

!Operation:!1. Connect an external power source to J1 (+ and - IN terminals). The

!Operation:!1. Connect an external power source to J1 (+ and - IN terminals). The The CB500 Electronic Circuit Breaker is an resettable circuit breaker (fuse) that disconnects power when the trip setting is exceeded. There are 4 trip settings that can easily be changed and set during

More information

PRINTED CIRCUIT BOARD SURFACE FINISHES - ADVANTAGES AND DISADVANTAGES

PRINTED CIRCUIT BOARD SURFACE FINISHES - ADVANTAGES AND DISADVANTAGES PRINTED CIRCUIT BOARD SURFACE FINISHES - ADVANTAGES AND DISADVANTAGES By Al Wright, PCB Field Applications Engineer Epec Engineered Technologies Anyone involved within the printed circuit board (PCB) industry

More information

MID, Flexible Circuits or Printed Circuit Boards? Technology Selection Based on Virtual Prototypes. 1 MID Congress 2010

MID, Flexible Circuits or Printed Circuit Boards? Technology Selection Based on Virtual Prototypes. 1 MID Congress 2010 1 MID Congress 2010 Company Overview Company history 07/2003: Foundation by Dr. Thomas Krebs 09/2006: Named FlowCAD as distributor Product NEXTRA Innovative product technology Industries: Transportation,

More information

Super high-speed, and high density gate array Dual power supply operation Raw gates from 18K to 216K gates (Sea of gates) DESCRIPTION

Super high-speed, and high density gate array Dual power supply operation Raw gates from 18K to 216K gates (Sea of gates) DESCRIPTION PF77- SLA3 Series High Speed Gate Array Wide Voltage Operation Products Super high-speed, and high density gate array Dual power supply operation Raw gates from K to K gates (Sea of gates) DESCRIPTION

More information

KiCad Step by Step Tutorial

KiCad Step by Step Tutorial KiCad Step by Step Tutorial Copyright 2006 David Jahshan: kicad at iridec.com.au 2011 Update Copyright 2011 Phil Hutchinson Copyright: Please freely copy and distribute (sell or give away) this document

More information

Orcad Layout. Autorouter User s Guide

Orcad Layout. Autorouter User s Guide Orcad Layout Autorouter User s Guide Copyright 1985-2000 Cadence Design Systems, Inc. All rights reserved. Trademarks Allegro, Ambit, BuildGates, Cadence, Cadence logo, Concept, Diva, Dracula, Gate Ensemble,

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS Prof. Dr. João Antonio Martino Professor Titular Departamento de Engenharia de Sistemas Eletrônicos Escola Politécnica da Universidade

More information

400W MONO/STEREO AMPLIFIER

400W MONO/STEREO AMPLIFIER 400W MONO/STEREO AMPLIFIER Universal, robust and compact are the words to describe this amplifier. Total solder points: 264 Difficulty level: beginner 1 2 3 4 5 advanced K4005B ILLUSTRATED ASSEMBLY MANUAL

More information

Selecting and Implementing H-Bridges in DC Motor Control. Daniel Phan A37005649

Selecting and Implementing H-Bridges in DC Motor Control. Daniel Phan A37005649 Selecting and Implementing H-Bridges in DC Motor Control Daniel Phan A37005649 ECE 480 Design Team 3 Spring 2011 Abstract DC motors can be used in a number of applications that require automated movements.

More information