Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Size: px
Start display at page:

Download "Implementation Of High-k/Metal Gates In High-Volume Manufacturing"

Transcription

1 White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of the chip transitioned to copper and ultra low k dielectrics to reduce signal delay using a new dual damascene integration approach. Advanced patterning films have enabled the introduction of double patterning schemes and dual exposure lithography allowing circuit patterns to be printed at the sub-45nm node. Further, introduction of uniaxial stress at the 90nm logic node using a combination of strain engineering films boosted logic cell performance to meet 45nm node logic requirements. Reliable gate oxynitride material of only a few atomic monolayers in thickness is now routinely used in high performance devices, enabling smaller, faster transistors. Despite all these remarkable advancements, Intel co-founder Gordon Moore stated that the introduction of new high-k and metal materials to the gate is the biggest change in transistor technology in nearly 40 years. Needed to keep Moore s Law on track, high-k and metal gate implementation has been one of the industry s grand challenges. This white paper reviews the history of the transition from SiO₂ and polysilicon gates to high-k/metal gates (Figure 1). Areas discussed include material selection, best integration methods and tool sets most suited for high-volume high-k/metal gate manufacturing starting at the 45nm logic technology node and for memory cells. Figure 1: High-k/metal gate transistors provide significant increase in switching speed and leakage reduction, ensuring continuation of Moore s Law. WHY HIGH-K/METAL GATES ARE CRITICAL Smaller transistors require a gate dielectric with increased capacitance. Higher capacitance is achieved by reducing the gate oxide thickness, but this increases gate leakage. Below 5.0nm in thickness, the leakage is unacceptably high when SiO₂ is used as the gate dielectric material. As a result, integrated device manufacturers introduced nitrided oxides SiON as a gate dielectric. Nitrided oxides were initially processed thermally by annealing in N₂O, NH₃ or NO chemistry. Plasma oxynitrides were later introduced as the dielectric thickness was scaled below 3.0nm to incorporate higher levels of nitrogen. However, leakage in this ultra thin gate dielectric continued 0 1

2 to be a problem and further oxide scaling was not an option. The 90nm logic node saw the final scaling of gate oxynitrides at 1.2nm; it could not be scaled beyond this point. The 65nm logic node continued with this gate dielectric thickness and minor gate CD scaling but emphasized integrated more strain-inducing layers into the process flow. The solution was to replace the oxynitride with a higher dielectric constant (k) to allow a higher capacitance with no electrical thickness penalty and reduced gate leakage. Figure 2 shows the gate leakage as a function of thickness for oxides, oxynitrides, and high-k dielectrics. For a given gate dielectric thickness, the hafnium-based (Hf) high-k dielectric coupled with a metal gate reduces the gate leakage by several orders of magnitude. This permits the poly critical dimensions of the transistor to be scaled, allowing Moore s Law to continue. In the early stages of high-k dielectric development, a material incompatibility between the polysilicon gate electrode and high-k dielectric was discovered. At issue were the high defect rates at the interface of high-k and polysilicon and the lower electrical mobility of the devices. The early solution to this problem was to replace the polysilicon with a metal electrode. High mobility devices with high-k gate dielectric and TiN electrode were processed and reported to have successfully circumvented mobility issues. Since CMOS processing requires both NMOS and PMOS devices, high-k/metal gate implementation now requires three new materials: a material with high dielectric constant for the dielectric layer and a metal (replacing poly) for NMOS and a metal for PMOS, with both metals having work function. An alternative method of implementing high-k/metal gates eliminates the need for two different metals by depositing two different dielectrics. This method mixes a Hf high-k dielectric with another dielectric containing more electropositive atoms such as lanthanum oxide in the case of NMOS devices. In the case of the PMOS devices, the Hf-based dielectric must be paired with a dielectric containing more electronegative atoms such as an aluminum-based oxide. Figure 2: High-k enables scaling to <9Å EOT and integration with metal gate provides a >100x reduction in gate leakage. The Equivalent Oxide Thickness (EOT) of a dielectric is inversely proportional to its dielectric constant. The two approaches, however, require distinctly different materials, integration methods and even tool sets in highvolume manufacturing. THE NEW HIGH-K GATE DIELECTRICS After more than ten years of research, Hf-based dielectrics have become the material of choice to replace SiO₂. Hf-based dielectrics include hafnium-oxide (HfO₂, k~25) which is most suitable for high-performance ICs such as microprocessors. Hafnium-silicate, and hafnium-siliconoxynitride (HfSiO/HfSiON, k~15) are suitable for low power-consumption chips. One of the most significant challenges encountered when introducing high-k materials was maintaining the transistor s high drive current. To eliminate this mobility degradation, a thin oxynitride interface layer must be maintained between the silicon and high-k (Figure 3). This extends the oxynitride-silicon interface that has provided excellent carrier mobility, interface stability and device reliability for the last three or four technology nodes. 0 2

3 metals must withstand the high thermal requirements of the current CMOS integration flows where high temperatures are used. Most metals with high work function have stable bulk characteristics after high thermal processing but alter their interface characteristics with the high-k dielectric after high temperature processing. Figure 3: An integrated SiON and HfO₂ stack with metal gate indicates an atomically smooth interface where the intermixing of Hf with the oxynitride provides a net higher k for the entire stack. THE NEW METAL GATES Replacing the polysilicon gate materials with metal eliminates incompatibility between the high-k dielectric and poly electrode. Significant research was invested on finding metal electrodes with the correct work function. Such Since conventional CMOS processing is incompatible with metal gate electrodes, a new low thermal budget CMOS flow was needed. Such a process could be a gate-last or damascene flow (Figure 4). In this flow, the metal gate material is deposited inside poly trenches after the high temperature steps of thermal processing and dopant activation. In a gate-first flow, however, the threshold voltage needs to be adjusted by alternative methods such as creation of a dipole field inside the dielectric that adjusts the threshold voltage independent of the work function of the metal. The tool set required for metal deposition is a combination of physical vapor deposition (PVD) and atomic layer deposition (ALD). Figure 4: Gate-last integration is a low temperature metal gate process. The high-k film is deposited prior to poly and undergoes standard prcess flow. After PMD deposition and CMP, the poly from both NMOS and PMOS are removed simultaneously. After metal gate depostion, one lithography step is required to remove the first metal deposited. Complete metal fill and a metal CMP is required. 0 3

4 Significant advances have been made in ALD, PVD metal and dielectric deposition technologies and these tools are ready for high-volume manufacturing of high-k/metal gate. of defects are essential methodologies to shorten the yield learning curve during the development phase, as well as for finding the root causes of excursions during production. ETCH, CMP AND METROLOGY The implementation of high-k/metal gates introduces new etch, chemical mechanical polish (CMP) and metrology challenges. In the gate-first scheme, both the dielectric cap layer and metal gate deposition have to be low-damage processes with excellent uniformity. High-k materials present profile, selectivity, and residue control challenges for etch that demand a wider process window to overcome. To ensure the ultimate electrical viability of the device, the high-k etch must achieve vertical and smooth profiles all the way to the silicon interface and avoid any recess into the silicon in the S/D areas beneath the gate. Avoiding silicon recess calls for a chemical etch action, which does not pose the risks inherent in physical bombardment by reactive ion etch. However, at conventional processing temperatures, etching cannot clear a full vertical profile all the way to the silicon interface. Instead, it tends to leave behind a foot-shaped film of the high-k material at the interface, generating undesirable residues. Hightemperature etch processing, on the other hand, energizes the chemical etch action to eliminate the foot with infinite selectivity to the underlying silicon while enhancing the volatility of high-k etch byproducts to produce residuefree surfaces. TWO INTEGRATION APPROACHES Several integration schemes are being considered with the two main approaches being gate-last and gate-first. The gate-last approach (Figure 4) is considered a low-temperature process since the metal is not exposed to high temperatures. The dielectric itself, however, is deposited prior to gate processing in traditional fashion. A major advantage of this approach is that metals with known work functions can be integrated with a relatively simple process flow without exposure to a high thermal budget. In the gate-first integration flow, as shown in Figure 5, with the exception of inserting the new high-k/metal gate materials, a standard transistor process is used. For the gate-last flow, new polishing techniques need to be developed to remove the low resistivity metals used to fill the poly trenches. Such a process must maintain uniformity with no dishing of the electrodes as this could lead to subsequent contact lithography and processing issues. Detection of small defects between dense structures requires a coherent, high intensity illumination source, such as a short-wavelength laser, to penetrate between the structures without losing inspection sensitivity. With the introduction of new materials in high-k/metal gate processes, defect composition analysis and cross sectioning Figure 5: Gate-first integration inserts the high-k metal steps into the standard process flow. The dielectric cap layers are deposted after the blanket high-k depostion on the oxynitride. One lithography step is required to remove the first cap layer. A single metal is deposited on both the cap layers, which tune the device V th. 0 4

5 HIGH-K/METAL GATE IN CMOS MEMORY Novel materials and designs are being considered for CMOS memory devices such as 3D SONOS arrays, Resistive RAM (including chalcogenides), Ferroelectric RAM, Magnetic RAM, nanocrystalline and high-k/metal gate charge trap flash. Among this list, leading memory IDMs have been investigating the use of high-k/metal gates with charge trap flash devices, such as the TANOS (TaN-Al₂O₃-SiN-Oxide-Si) cell structure as illustrated in Figure 6. Challenges with high-k/metal gate implementation in the Flash memory process flow are similar to the logic gate-first process in terms of material stability and variability with high temperature processing. However, only a single metal with a high work function and high-k dielectric are utilized for all cells. SUMMARY Starting at the 45nm node, integrated device manufacturers of both logic and CMOS memory will implement high-k/ metal gates in high-volume manufacturing. Flash memory will take advantage of high metal work functions and band gap engineered charge trap memories by implementing high-k and metal gate. Two metals and one dielectric (gate last) versus two dielectrics and one metal (gate first) are two approaches taken by lead logic device manufactures for material choices. Each choice, however, requires a unique integration scheme and unique tool sets. Both the equipment industry and the lead device manufactures are now ready to put this long awaited change in high-volume manufacturing. CONTACTS Technology: Media: Finance: Reza_Arghavani@amat.com Gary_Miner@amat.com Melody_Agustin@amat.com Connie_Duncan@amat.com Randy_Bane@amat.com Figure 6: An example of a high-k metal gate in CMOS memory is the TANOS structure. TANOS cell patterning courtesy of Maydan Technology Center, Applied Materials. 0 5

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Nanotechnologies for the Integrated Circuits

Nanotechnologies for the Integrated Circuits Nanotechnologies for the Integrated Circuits September 23, 2015 Dr. Bertrand Cambou Professor of Practice NAU, Cybersecurity School of Informatics, Computing, and Cyber-Systems Agenda The Market Silicon

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Our Embedded Dream of the Invisible Future

Our Embedded Dream of the Invisible Future Our Embedded Dream of the Invisible Future Since the invention of semiconductor chips, the evolution of mankind s culture, society and lifestyle has accelerated at a pace never before experienced. Information

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm STMicroelectronics Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI SOI Processes 130nm, 65nm SiGe 130nm CMP Process Portfolio from ST Moore s Law 130nm CMOS : HCMOS9GP More than Moore

More information

MOS (metal-oxidesemiconductor) 李 2003/12/19

MOS (metal-oxidesemiconductor) 李 2003/12/19 MOS (metal-oxidesemiconductor) 李 2003/12/19 Outline Structure Ideal MOS The surface depletion region Ideal MOS curves The SiO 2 -Si MOS diode (real case) Structure A basic MOS consisting of three layers.

More information

New Ferroelectric Material for Embedded FRAM LSIs

New Ferroelectric Material for Embedded FRAM LSIs New Ferroelectric Material for Embedded FRAM LSIs V Kenji Maruyama V Masao Kondo V Sushil K. Singh V Hiroshi Ishiwara (Manuscript received April 5, 2007) The strong growth of information network infrastructures

More information

Introduction to CMOS VLSI Design

Introduction to CMOS VLSI Design Introduction to CMOS VLSI esign Slides adapted from: N. Weste,. Harris, CMOS VLSI esign, Addison-Wesley, 3/e, 24 Introduction Integrated Circuits: many transistors on one chip Very Large Scale Integration

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas.

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas. Order this document by /D Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas Introduction Today s microcontroller applications are more sophisticated

More information

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST Flash Memories João Pela (52270), João Santos (55295) IST December 22, 2008 João Pela (52270), João Santos (55295) (IST) Flash Memories December 22, 2008 1 / 41 Layout 1 Introduction 2 How they work 3

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

VLSI Fabrication Process

VLSI Fabrication Process VLSI Fabrication Process Om prakash 5 th sem ASCT, Bhopal omprakashsony@gmail.com Manisha Kumari 5 th sem ASCT, Bhopal Manisha2686@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This

More information

Ultra-High Density Phase-Change Storage and Memory

Ultra-High Density Phase-Change Storage and Memory Ultra-High Density Phase-Change Storage and Memory by Egill Skúlason Heated AFM Probe used to Change the Phase Presentation for Oral Examination 30 th of May 2006 Modern Physics, DTU Phase-Change Material

More information

Thin Is In, But Not Too Thin!

Thin Is In, But Not Too Thin! Thin Is In, But Not Too Thin! K.V. Ravi Crystal Solar, Inc. Abstract The trade-off between thick (~170 microns) silicon-based PV and thin (a few microns) film non-silicon and amorphous silicon PV is addressed

More information

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS Prof. Dr. João Antonio Martino Professor Titular Departamento de Engenharia de Sistemas Eletrônicos Escola Politécnica da Universidade

More information

CS257 Introduction to Nanocomputing

CS257 Introduction to Nanocomputing CS257 Introduction to Nanocomputing Overview of Crossbar-Based Computing John E Savage Overview Intro to NW growth methods Chemical vapor deposition and fluidic assembly Nano imprinting Nano stamping Four

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

Class 18: Memories-DRAMs

Class 18: Memories-DRAMs Topics: 1. Introduction 2. Advantages and Disadvantages of DRAMs 3. Evolution of DRAMs 4. Evolution of DRAMs 5. Basics of DRAMs 6. Basics of DRAMs 7. Write Operation 8. SA-Normal Operation 9. SA-Read Operation

More information

Intel Technology Journal

Intel Technology Journal Volume 12 Issue 01 Published, February 21, 2008 ISSN 1535-864X DOI: 10.1535/itj.1201 Volume 12 Issue 02 Published June 17, 2008 ISSN 1535-864X DOI: 10.1535/itj.1202 Intel Technology Journal Intel s 45nm

More information

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach)

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach) CONTENTS Preface. Energy Band Theory.. Electron in a crystal... Two examples of electron behavior... Free electron...2. The particle-in-a-box approach..2. Energy bands of a crystal (intuitive approach)..3.

More information

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Vivek Subramanian Department of Electrical Engineering and Computer Sciences University of California, Berkeley RD83089901

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Electron mobility in MOSFETs with ultrathin RTCVD silicon nitride/oxynitride stacked gate dielectrics

Electron mobility in MOSFETs with ultrathin RTCVD silicon nitride/oxynitride stacked gate dielectrics Solid-State Electronics 47 (2003) 49 53 www.elsevier.com/locate/sse Short Communication Electron mobility in MOSFETs with ultrathin RTCVD silicon nitride/oxynitride stacked gate dielectrics K.J. Yang a,

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Wlodek Kurjanowicz DesignCon 2008 Sidense Corp 2008 Agenda Introduction: Why Embedded NVM? Embedded Memory Landscape Antifuse Memory evolution

More information

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing CINVESTAV-UNIDAD QUERETARO P.G. Mani-González and A. Herrera-Gomez gmani@qro.cinvestav.mx CINVESTAV 1 background

More information

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets.

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets. INF4420 Layout and CMOS processing technology Spring 2012 1 / 76 Outline CMOS Fabrication overview Design rules Layout of passive and active componets Packaging 2 / 76 Introduction As circuit designers

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

MEMS Processes from CMP

MEMS Processes from CMP MEMS Processes from CMP MUMPS from MEMSCAP Bulk Micromachining 1 / 19 MEMSCAP MUMPS processes PolyMUMPS SOIMUMPS MetalMUMPS 2 / 19 MEMSCAP Standard Processes PolyMUMPs 8 lithography levels, 7 physical

More information

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016 Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms Christophe Maleville Substrate readiness 3 lenses view SOI Consortium C1 - Restricted Conference Tokyo 2016

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Graphene a material for the future

Graphene a material for the future Graphene a material for the future by Olav Thorsen What is graphene? What is graphene? Simply put, it is a thin layer of pure carbon What is graphene? Simply put, it is a thin layer of pure carbon It has

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

2015-2016 Facility Rates & Expense Caps

2015-2016 Facility Rates & Expense Caps NANOFAB FEES / SERVICES Entry Fee $20.00/Day $32.10/Day Nanofab Training Fee $25.00/Hour $40.13/Hour Nanofab Process Development/Labor $50.00/Hour $80.25/Hour Model Shop $25.00/Month $40.13/Month Wafer

More information

SC Series: MIS Chip Capacitors

SC Series: MIS Chip Capacitors DATA SHEET SC Series: MIS Chip Capacitors Applications Systems requiring DC blocking or RF bypassing Fixed capacitance tuning element in filters, oscillators, and matching networks Features Readily available

More information

OLED display. Ying Cao

OLED display. Ying Cao OLED display Ying Cao Outline OLED basics OLED display A novel method of fabrication of flexible OLED display Potentials of OLED Suitable for thin, lightweight, printable displays Broad color range Good

More information

Unternehmerseminar WS 2009 / 2010

Unternehmerseminar WS 2009 / 2010 Unternehmerseminar WS 2009 / 2010 Fachbereich: Maschinenbau und Mechatronik Autor / Thema / Titel: Key Enabling Technology Business Planning Process: Product Roadmaps 1 Table of Contents About AIXTRON

More information

Chapter 11 PVD and Metallization

Chapter 11 PVD and Metallization Chapter 11 PVD and Metallization 2006/5/23 1 Metallization Processes that deposit metal thin film on wafer surface. 2006/5/23 2 1 Metallization Definition Applications PVD vs. CVD Methods Vacuum Metals

More information

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation 1 Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation Vivek Joshi, Kanak Agarwal*, Dennis Sylvester, David Blaauw Electrical Engineering & Computer Science University of Michigan,

More information

Long Term Data Retention of Flash Cells Used in Critical Applications

Long Term Data Retention of Flash Cells Used in Critical Applications Office of the Secretary of Defense National Aeronautics and Space Administration Long Term Data Retention of Flash Cells Used in Critical Applications Keith Bergevin (DMEA) Rich Katz (NASA) David Flowers

More information

From sand to circuits How Intel makes integrated circuit chips. Sand with Intel Core 2 Duo processor.

From sand to circuits How Intel makes integrated circuit chips. Sand with Intel Core 2 Duo processor. www.intel.com Learn more about Intel history at www.intel.com/museum Copyright 2008 Intel Corporation. All rights reserved. Intel, Intel logo, Celeron, Intel386, Intel486, i386, i486, Intel Core, Intel

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

ECE 410: VLSI Design Course Introduction

ECE 410: VLSI Design Course Introduction ECE 410: VLSI Design Course Introduction Professor Andrew Mason Michigan State University Spring 2008 ECE 410, Prof. A. Mason Lecture Notes Page i.1 Age of electronics microcontrollers, DSPs, and other

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Tableting Punch Performance Can Be Improved With Precision Coatings

Tableting Punch Performance Can Be Improved With Precision Coatings Tableting Punch Performance Can Be Improved With Precision Coatings by Arnold H. Deutchman, Ph. D. Director of Research and Development (614) 873-4529 X 114 adeutchman@beamalloy.net Mr. Dale C. Natoli

More information

What is optical lithography? The optical system Production process Future and limits of optical lithography References. Optical lithography

What is optical lithography? The optical system Production process Future and limits of optical lithography References. Optical lithography Optical lithography Robin Nagel TUM 12. Januar 2009 Robin Nagel (TUM) Optical lithography 12. Januar 2009 1 / 22 1 What is optical lithography? 1 The optical system 1 Production process 1 Future and limits

More information

CO2005: Electronics I (FET) Electronics I, Neamen 3th Ed. 1

CO2005: Electronics I (FET) Electronics I, Neamen 3th Ed. 1 CO2005: Electronics I The Field-Effect Transistor (FET) Electronics I, Neamen 3th Ed. 1 MOSFET The metal-oxide-semiconductor field-effect transistor (MOSFET) becomes a practical reality in the 1970s. The

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

This paper describes Digital Equipment Corporation Semiconductor Division s

This paper describes Digital Equipment Corporation Semiconductor Division s WHITEPAPER By Edd Hanson and Heather Benson-Woodward of Digital Semiconductor Michael Bonner of Advanced Energy Industries, Inc. This paper describes Digital Equipment Corporation Semiconductor Division

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

Metal Gate / High-k Reliability Characterization: From Research to Development and Manufacturing. Andreas Kerber, PhD Technology Research Group

Metal Gate / High-k Reliability Characterization: From Research to Development and Manufacturing. Andreas Kerber, PhD Technology Research Group Metal Gate / High-k Reliability Characterization: From Research to Development and Manufacturing Andreas Kerber, PhD Technology Research Group Acknowledgement Colleagues at GLOBALFOUNDRIES, IBM, and research

More information

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat. Introduction to VLSI Programming TU/e course 2IN30 Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.Lab] Introduction to VLSI Programming Goals Create silicon (CMOS) awareness

More information

DISCRETE SEMICONDUCTORS DATA SHEET. BLF244 VHF power MOS transistor

DISCRETE SEMICONDUCTORS DATA SHEET. BLF244 VHF power MOS transistor DISCRETE SEMICONDUCTORS DATA SHEET September 1992 FEATURES High power gain Low noise figure Easy power control Good thermal stability Withstands full load mismatch Gold metallization ensures excellent

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Supercapacitors. Advantages Power density Recycle ability Environmentally friendly Safe Light weight

Supercapacitors. Advantages Power density Recycle ability Environmentally friendly Safe Light weight Supercapacitors Supercapacitors also called ultracapacitors and electric double layer capacitors (EDLC) are capacitors with capacitance values greater than any other capacitor type available today. Capacitance

More information

Chapter 10 CVD and Dielectric Thin Film

Chapter 10 CVD and Dielectric Thin Film Chapter 10 CVD and Dielectric Thin Film 2006/5/23 1 Objectives Identify at least four CVD applications Describe CVD process sequence List the two deposition regimes and describe their relation to temperature

More information

How compact discs are made

How compact discs are made How compact discs are made Explained by a layman for the laymen By Kevin McCormick For Science project at the Mountain View Los Altos High School Abstract As the major media for music distribution for

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4 Wafer Manufacturing Reading Assignments: Plummer, Chap 3.1~3.4 1 Periodic Table Roman letters give valence of the Elements 2 Why Silicon? First transistor, Shockley, Bardeen, Brattain1947 Made by Germanium

More information

International Journal of Electronics and Computer Science Engineering 1482

International Journal of Electronics and Computer Science Engineering 1482 International Journal of Electronics and Computer Science Engineering 1482 Available Online at www.ijecse.org ISSN- 2277-1956 Behavioral Analysis of Different ALU Architectures G.V.V.S.R.Krishna Assistant

More information

A Study on the Reliability of Metal Gate La2O3 Thin Film Stacked Structures

A Study on the Reliability of Metal Gate La2O3 Thin Film Stacked Structures . Page 1 of 8 Doctoral Thesis A Study on the Reliability of Metal Gate La2O3 Thin Film Stacked Structures (Summary of Doctoral Thesis) Joel Molina Reyes March 2007 Principal Supervisor: Professor Hiroshi

More information

System conflicts Or What Makes Some Problems So Difficult To Solve

System conflicts Or What Makes Some Problems So Difficult To Solve System conflicts Or What Makes Some Problems So Difficult To Solve Victor Fey, Eugene Rivin Solving engineering design problems usually begins with attempts at finding solutions using conventional means.

More information

Samsung 3bit 3D V-NAND technology

Samsung 3bit 3D V-NAND technology White Paper Samsung 3bit 3D V-NAND technology Yield more capacity, performance and power efficiency Stay abreast of increasing data demands with Samsung's innovative vertical architecture Introduction

More information

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com Introduction to Semiconductor Manufacturing Technology Chapter 1, Introduction Hong Xiao, Ph. D. hxiao89@hotmail.com Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objective After taking this

More information

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost Comparison study of FETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost David Fried, IBM Thomas Hoffmann, IMEC Bich-Yen Nguyen, SOITEC Sri Samavedam, Freescale Horacio Mendez, SOI Industry

More information

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING Gim S. Chen, Ismail Kashkoush, and Rich E. Novak AKrion LLC 633 Hedgewood Drive, #15 Allentown, PA 1816, USA ABSTRACT Ozone-based HF chemistry

More information

Ultra Low Profile Silicon Capacitors (down to 80 µm) applied to Decoupling Applications. Results on ESR/ESL.

Ultra Low Profile Silicon Capacitors (down to 80 µm) applied to Decoupling Applications. Results on ESR/ESL. Ultra Low Profile Silicon Capacitors (down to 80 µm) applied to Decoupling Applications. Results on ESR/ESL. Laurent Lengignon, Laëtitia Omnès, Frédéric Voiron IPDiA, 2 rue de la girafe, 14000 Caen, France

More information

Crossbar Resistive Memory:

Crossbar Resistive Memory: White Paper Crossbar Resistive Memory: The Future Technology for NAND Flash By Hagop Nazarian, Vice President of Engineering and Co-Founder Abstract NAND Flash technology has been serving the storage memory

More information

Modification of Graphene Films by Laser-Generated High Energy Particles

Modification of Graphene Films by Laser-Generated High Energy Particles Modification of Graphene Films by Laser-Generated High Energy Particles Elena Stolyarova (Polyakova), Ph.D. ATF Program Advisory and ATF Users Meeting April 2-3, 2009, Berkner Hall, Room B, BNL Department

More information

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN USING DIFFERENT FOUNDRIES Priyanka Sharma 1 and Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department

More information

Technology Developments Towars Silicon Photonics Integration

Technology Developments Towars Silicon Photonics Integration Technology Developments Towars Silicon Photonics Integration Marco Romagnoli Advanced Technologies for Integrated Photonics, CNIT Venezia - November 23 th, 2012 Medium short reach interconnection Example:

More information

State of the art in reactive magnetron sputtering

State of the art in reactive magnetron sputtering State of the art in reactive magnetron sputtering T. Nyberg, O. Kappertz, T. Kubart and S. Berg Solid State Electronics, The Ångström Laboratory, Uppsala University, Box 534, S-751 21 Uppsala, Sweden D.

More information

Micro-Power Generation

Micro-Power Generation Micro-Power Generation Elizabeth K. Reilly February 21, 2007 TAC-meeting 1 Energy Scavenging for Wireless Sensors Enabling Wireless Sensor Networks: Ambient energy source Piezoelectric transducer technology

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc. Failure Analysis System for Submicron Semiconductor Devices 68 Failure Analysis System for Submicron Semiconductor Devices Munetoshi Fukui Yasuhiro Mitsui, Ph. D. Yasuhiko Nara Fumiko Yano, Ph. D. Takashi

More information