STRATEGIES & METHODOLOGIES FOR LOW POWER VLSI DESIGNS: A REVIEW



Similar documents
International Journal of Electronics and Computer Science Engineering 1482

Design and analysis of flip flops for low power clocking system

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

DESIGN CHALLENGES OF TECHNOLOGY SCALING

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures

Low leakage and high speed BCD adder using clock gating technique

Alpha CPU and Clock Design Evolution

Leakage Power Reduction Using Sleepy Stack Power Gating Technique

Low Power AMD Athlon 64 and AMD Opteron Processors

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

數 位 積 體 電 路 Digital Integrated Circuits

S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India

A Survey on Sequential Elements for Low Power Clocking System

CHARGE pumps are the circuits that used to generate dc

NAME AND SURNAME. TIME: 1 hour 30 minutes 1/6

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Mansun Chan, Xuemei Xi, Jin He, and Chenming Hu

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

CMOS Power Consumption and C pd Calculation

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng

Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology

LOW POWER DESIGN OF DIGITAL SYSTEMS USING ENERGY RECOVERY CLOCKING AND CLOCK GATING

Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating

A 10,000 Frames/s 0.18 µm CMOS Digital Pixel Sensor with Pixel-Level Memory

System on Chip Design. Michael Nydegger

Two-Phase Clocking Scheme for Low-Power and High- Speed VLSI

An Open Architecture through Nanocomputing

On-Chip Interconnect: The Past, Present, and Future

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

A 2-Slot Time-Division Multiplexing (TDM) Interconnect Network for Gigascale Integration (GSI)

Intel s Revolutionary 22 nm Transistor Technology

ECE 410: VLSI Design Course Introduction

Power Reduction Techniques in the SoC Clock Network. Clock Power

1.1 Silicon on Insulator a brief Introduction

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology

Switched Interconnect for System-on-a-Chip Designs

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications

Signal integrity in deep-sub-micron integrated circuits

Introduction to CMOS VLSI Design

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Advanced VLSI Design CMOS Processing Technology

Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip

DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM

Introduction to Digital System Design

VLSI Design Verification and Testing

EMC / EMI issues for DSM: new challenges

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery

Digital Integrated Circuit (IC) Layout and Design

Implementing Energy Harvesting in Embedded System Designs

INF4420 Introduction

Class 11: Transmission Gates, Latches

IL2225 Physical Design

Three-Phase Dual-Rail Pre-Charge Logic

10 BIT s Current Mode Pipelined ADC

Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells

MRF175GU MRF175GV The RF MOSFET Line 200/150W, 500MHz, 28V

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7

on-chip and Embedded Software Perspectives and Needs

High Speed and Efficient 4-Tap FIR Filter Design Using Modified ETA and Multipliers

A bachelor of science degree in electrical engineering with a cumulative undergraduate GPA of at least 3.0 on a 4.0 scale

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Application Note: PCB Design By: Wei-Lung Ho

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

DRG-Cache: A Data Retention Gated-Ground Cache for Low Power 1

EE411: Introduction to VLSI Design Course Syllabus

Digital Design for Low Power Systems

True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

DESIGN OF MIXED SIGNAL CIRCUITS AND SYSTEMS FOR WIRELESS APPLICATIONS

LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP

Automist - A Tool for Automated Instruction Set Characterization of Embedded Processors

Creating a Usable Power Supply from a Solar Panel

EECS 240 Topic 7: Current Sources

A New Programmable RF System for System-on-Chip Applications

Riding silicon trends into our future

A true low voltage class-ab current mirror

How To Design A Chip Layout

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Class 18: Memories-DRAMs

Low latency synchronization through speculation

Intel Labs at ISSCC Copyright Intel Corporation 2012

An Ultra-low low energy asynchronous processor for Wireless Sensor Networks

CMOS Thyristor Based Low Frequency Ring Oscillator

1ED Compact A new high performance, cost efficient, high voltage gate driver IC family

Towards a more efficient energy use in photovoltaic powered products

Integrated Circuits & Systems

Delay Time Analysis of Reconfigurable Firewall Unit

Analysis and Design of High gain Low Power Fully Differential Gain- Boosted Folded-Cascode Op-amp with Settling time optimization

Transcription:

Abstract STRATEGIES & METHODOLOGIES FOR LOW POWER VLSI DESIGNS: A REVIEW Kanika Kaur 1 and Arti Noor 2 1 KIIT College of Engineering, Gurgaon, India kanika.kiit@gmail.com 2 Centre for Development of Advanced Computing, Noida, India, artinoor@cdacnoida.in Low power has emerged as a principal theme in today s world of electronics industries. Power dissipation has become an important consideration as performance and area for VLSI Chip design. With shrinking technology reducing power consumption and over all power management on chip are the key challenges below 100nm due to increased complexity. For many designs, optimization of power is important as timing due to the need to reduce package cost and extended battery life. For power management leakage current also plays an important role in low power VLSI designs. Leakage current is becoming an increasingly important fraction of the total power dissipation of integrated circuits. This paper describes about the various strategies, methodologies and power management techniques for low power circuits and systems. Future challenges that must be met to designs low power high performance circuits are also discussed. Keywords: Power Dissipation, low power, process nodes, leakage current, power management. 1. Introduction The advantage of utilizing a combination of low-power components in conjunction with low-power design techniques is more valuable now than ever before. Requirements for lower power consumption continue to increase significantly as components become battery-powered, smaller and require more functionality. In the past the major concerns for the VLSI designers was area, performance and cost. Power consideration was the secondary concerned. Now a day s power is the primary concerned due to the remarkable growth and success in the field of personal computing devices and wireless communication system which demand high speed computation and complex functionality with low power consumption. The motivations for reducing power consumption differ application to application. In the class of micro-powered battery operated portable applications such as cell phones, the goal is to keep the battery lifetime and weight reasonable and packaging cost low. For high performance portable computers such as laptop the goal is to reduce the power dissipation of the electronics portion of the system to a point which is about half of the total power dissipation. Finally for the high performance non battery operated system such as workstations the overall goal of power minimization is to reduce the system cost while ensuring long term device reliability. For such high performance systems, process technology has driven power to the fore front to all factors in such designs. At process nodes below 100 nm technology, power consumption due to leakage has joined switching activity as a primary power management concern. There are many techniques [15] that have been developed over the past decade to address the continuously aggressive power reduction requirements of most of the high performance. The basic low-power design techniques, such as clock gating for reducing dynamic power, or multiple voltage thresholds (multi-vt) to decrease leakage current, are well-established and supported by existing tools [17]. From figure 1 we can analyze how many changes takes place in circuit design using power dissipation [15]. 159 Vol. 1,Issue 2,pp.159-165

2. Low Power Strategies Figure 1: Evolution in Power dissipation[15] There (table-1) are different strategies available at different level in VLSI design process for optimizing the power consumption: Table -1, Strategies for low power designs Design Level Operating Level Software level System Strategies Portioning, Power down Regularity, locality, concurrency Architecture level Circuit /Logic level Technology Level Pipelining, Redundancy, data encoding Logic styles, transistor sizing and energy recovery Threshold reduction, multi threshold devices Effective power management is possible by using the different strategies at various levels in VLSI Design process. So designers need an intelligent approach for optimizing power consumptions in designs. 3. Power Dissipation Basics In a circuit three components are responsible for power dissipation: dynamic power, short-circuit power and static power. Out of these, dynamic power or switching power is primarily power dissipated when charging or discharging capacitors and is described below [5, 6]: P dyn = C L Vdd 2 α f (1) Where C L : Load Capacitance, a function of fan-out, wirelength, and transistor size, Vdd: Supply Voltage, which has been dropping with successive process nodes, α: Activity Factor, meaning how often, on average, the wires switch, f :Clock Frequency, which is increasing at each successive process node. Static power or leakage power is a function of the supply voltage (Vdd), the switching threshold (Vt), and transistor sizes (figure2). As process nodes shrink, leakage becomes a more significant source of energy use, consuming at least 30% of total power [2]. Crowbar currents, caused when both the PMOS and NMOS devices are simultaneously on, also contribute to the leakage power dissipation [17]. Most circuit level minimization techniques focus only on Sub threshold leakage reduction without considering the effects of gate leakage [15].For this MTCMOS scheme [4] has 160 Vol. 1,Issue 2,pp.159-165

been proposed for reduction of subthreshold leakage current in sleep mode. Figure-2 shows the various components responsible for power dissipation in CMOS. Figure 2, Power Dissipation in CMOS [4] 4.Low Power Design Space From the above section it is revealed that there are three degrees of freedom in the VLSI design space : Voltage, Physical Capacitance and data activity. Optimizing for more power entails an attempt to reduce one or more of these factors. This section briefly describes about their importance in power optimization process. 4.1Voltage:- Because of its quadratic relationship to power, voltage reduction offers the most effective means of minimizing power consumption.without requiring any special circuits and technologies, a factor of two reduction in supply voltage yields a factor of four decreases in power consumption. Unfortunately, there is speed penalty for supply voltage reduction and delays drastically increase as Vdd approaches to the threshold voltage Vt of the device. The approach to reduce the supply voltage without loss in throughput is to modify the threshold voltage of the devices. Reducing the Vt allows the supply voltage to be scaled down without loss in speed.the limit of how low low the Vt can go is set by the reqirement to set adequate noise margins and control the increase in the subtreshold leakage current [6,8,10]. 4.2Physical Capacitance:- Dynamic power consumption depends linearly on the physical capacitance being switched. So, in addition to operating at low voltages, minimizing capacitances offer another technique for minimizing power consumption.the capacitances can be kept at a minimum by using less logic, smaller devices, fewer and shorter wires[6,8,10]. As with voltage, however, we are not free to optimize capacitances independently, for example reducing device sizes reduces physical capacitance, but it also reduces the current drive of the transistor making the circuit operate more slowly. 4.3Switching Activity:- There are two components to switching activity : F clk which determines the average periodicityof data arrivals and E(sw) which determines how many transitions each arrival will generate[14]. E(sw) is reduced by selecting proper algorithms architecture optimization, by proper choice of logic topology and by logic level optimization which results in less power[15]. The data activity E(sw) are combined with the physical capacitance C to obtained switch capacitance C sw =C.E(sw),which describes the average capacitance charge during each data period1/fclk which determines the power consumed by CMOS circuit[9]. 5.Power Minimization Techniques This section addresses (table-2) the different approaches to minimize the power at different levels: 161 Vol. 1,Issue 2,pp.159-165

Traditional Techniques Table-2 shows the some of the low power techniques used today [1, 2] Dynamic Power Reduction Leakage power reduction Clock Gating Clock Gating Minimize usage of low Vt cells Power Gating Power Efficient Power Gating Techniques Other Power reduction Techniques Multi Oxide devices Minimize capacitance by custom design Variable Frequency Variable Frequency Back Biasing Power efficient circuits Variable Voltage Supply Variable Voltage Supply Reduce Oxide Thickness Variable Device Threshold Variable Island Use Fin FET 5.1 Reducing Chip and package capacitance: - This can be achieved through process development such as SOI with partially or fully depleted wells, CMOS scaling to submicron device sizes and advanced interconnect substrates such as multi chip module (MCM). This approach can be very effective but is also very expensive [15, 19]. 5.2 Scaling the supply voltage (Voltage Scaling): - This approach can be very effective in reducing the power dissipation, but often requires new IC fabrication processing [13]. 5.3Using power management strategies: - Effective power management involves selection of the right technology, the use of optimized libraries, IP (intellectual property), and design methodology [1, 19]. Figure-3 shows the effective power management strategy. 5.3.1The Role of Technology Selection: - Proper technology selection is one of the key aspects of power management [1]. The goal of each technology advancement is to improve performance, density, and power consumption. The typical approach in developing a new generation of technology is to apply constant-electric-field scaling. Process designers scale both the applied voltage and the oxide thickness to maintain the same electric field [13,16]. This approach reduces power by about 50% with every new technology node However, as the voltage gets smaller, the threshold voltage also must scale down to meet the performance targets of that technology. This scaling unfortunately increases the subthreshold current and hence the leakage power. To overcome this constraint, process engineers no longer apply constant-field scaling for processes of 65 nm or smaller; instead, they used a more generalized form of scaling. Because it is impossible to optimize a technology for both performance and leakage at once, each technology usually has two variants. One variant aims for high performance, and the other shoots for low leakage. The primary differences between the two are in the oxide thickness, supply voltage, and threshold voltage. The technology variant with the thicker gate oxide aims for low-leakage design and must support a higher voltage to achieve a reasonable performance [9]. When selecting a technology to optimize the power for a given design, you must take both aspects into consideration: the need to use a smaller geometry to reduce active power and the need to use a low-leakage variant to reduce leakage. 162 Vol. 1,Issue 2,pp.159-165

Figure 3: Technology selection for [9] effective power management Power Figure4: Trade off between leakage and 5.3.2Circuit-Design Techniques: After selecting technology, the focus is on design techniques to optimize power. (figure 5). One has to start by selecting the appropriate logic gate from the standard cell library. Each gate in a standard cell library uses the smallest transistors and has multiple versions with different drive strengths, sizes, delays, multiple-threshold voltage and power consumption. Because the main parameter for controlling active power is the power-supply voltage, cell designers typically design and characterize the gates to operate at voltages as much as 30% lower than the power-supply voltage [1]. Lowering the power-supply voltage produces smaller currents, resulting in more delay. However, this slowdown is acceptable if the design is not pushing the edges of a given technology. Increasing the threshold voltage reduces the leakage current in the device. Leakage power also controlled by designing logic gates with multiple-threshold-voltage devices [16], including standard high and low threshold voltage devices. Figure-4 shows the variation of gate delay Vs leakage power. 5.3.3CAD Methodologies and Technique:-Today's EDA tools effectively support these powermanagement techniques [3]. They also provide additional power savings during implementation. Low power VLSI designs can be achieved at various levels of the design abstraction from algorithmic and system levels down to layout and circuit levels. 5.4Low Power management in Physical Design:-Physical design tools interpret the power intent and implement the layout correctly, from placement of special cells to routing and optimization across power domains in the presence of multiple corners, modes, and power states, plus manufacturing variability [2, 3]. An increasingly common technique to reduce power in physical design is the use of multiple voltage islands (domains), which allows some blocks to use lower supply voltages than others, or to be completely shut off for certain modes of operation [6].Clocks are a significant source of dynamic power usage. Low-power clock tree synthesis (CTS) strategies [5, 6] include lowering overall capacitance and minimizing switching activity to achieve power saving. However, getting the best power results from CTS depends on the ability to synthesize the clocks for multiple corners and modes concurrently in the presence of design and manufacturing variability, and in multi-voltage flows [8]. Power gating technique is effective for reducing leakage power by temporarily turned off the circuit [17, 8]. This temporary shutdown time can also call as "low power mode" or "inactive mode". When circuit blocks are required for operation once again they are activated to "active mode". Shutting down the blocks can be accomplished either by software or hardware. Now-a-days a dedicated power 163 Vol. 1,Issue 2,pp.159-165

management controller is used for this purpose [17]. Table-3 gives the trade-off associated with the various power management techniques [17]. Table-3 Trade off associated with power management techniques. Power Reduction Technique Power Benefit Timing Penalty Area Penalty Methodology Impact Architecture Design Verification Implementation Multi Vt optimization Medium Little Little Low Low None Low Clock Gating Medium Little Little Low Low None Low Multi supply voltage Large Some Little High Medium Low Medium Power Shut off Huge Some Some High High High High Dynamic and adaptive voltage Large Some Some High High High High frequency scaling Substrate Biasing Large Some Some Medium None None High 6. Conclusion The need for lower power systems is being driven by many market segments. Unfortunately designing for low power adds another dimension to the already complex design problem and the design has to be optimized for power as well as Performance and Area. In conclusion various issues and major challenges regarding low power designs are:- 6.1 Technology Scaling: - It relates with the following factors like: Capacitance per node reduces by 30%, Electrical nodes increases by 2X, Die size grows by 14% (Moore s Law), Supply Voltage reduces by 15% and Frequency Increases by 2X. To meet these issues relatively 2.7 X active power will increase. 6.2 Leakage power: - To meet frequency demand Vt will be scaled which results high leakage power. A low voltage / low threshold technology and circuit design approach, targeting supply voltage around 1V and operating with reduced thresholds. 6.3 Dynamic power management techniques, varying supply voltage and execution speed according to the activity measurement. 6.4 Low power interconnect, using advance technology, reduced swing or activity approach. 6.5 Development of power conscious techniques and tools for behavioral synthesis, logic synthesis and layout optimization. 6.6 Power saving techniques that recycle the signal energies using the adiabatic switching principals rather them dissipating them as a heat and promising in certain applications where speed can be trades for low power. 7. References [1]. Michael Keating, David Flynn, Robert Aitken, Ala Gibsons and Kaijian Shi, Low Power Methodology Manual for System on Chip Design, Springer Publications, New York, 2007. [2]. Creating Low-Power Digital Integrated Circuits The Implementation Phase, Cadence, 2007. [3].Liu, Weidong, Xiaodong Jin, Xuemei Xi, James Chen, Min-Chie Jeng, Zhihong Liu, Yuhua Cheng, Kai Chen, Mansun Chan, Kelvin Hui, Jianhui Huang, Robert Tu, Ping K Ko, and Chenming Hu, BSIM3v3.3 MOSFET Model User's Manual, Department of Electrical Engineering and Computer Sciences, University of California-Berkeley, 2005. [4]. Glasser, Lance A, and Daniel W Dobberpuhl, TheDesign and Analysis of VLSI Circuits, Addison-Wesley Publishing Co, 1985. 164 Vol. 1,Issue 2,pp.159-165

[5]. Shekar Borkar, "Design Challenges of Technology Scaling," IEEE Micro, July/August 1999, pg 23. [6]. T. Inukai, et.al, Boosted Gate MOS (BGMOS): Device/Circuit Cooperation Scheme to Achieve Leakage- Free Giga- Scale Integration, Proc. CICC 2000, pp.409-412. [7]. F.Hamzaoglu and M. Stan, Circuit-Level Techniques to Control Gate Leakage for sub 100nm CMOS, Proc. ISLPED, pp. 60-63, Aug. 2002. [8]. Y. Yeo, et.al, Direct Tunneling Gate Leakage Current in Transistors with Ultrathin Silicon Nitride Gate Dielectric, IEEE Electron Devices Letters, vol.21, no.11, pp. 540-542, Nov.2000. [9]. S. Mutoh, et.al, 1-V Power Supply High-Speed Digital Circuit Technology with Multi-Threshold Voltage CMOS, IEEE Journal of Solid State Circuits, vol. 30, no. 8, pp. 847-854, Aug. 1995. [10]. M.Alidina, j. Monterio, S. Devadas, A.Ghosh and M. Papaefthymiou. Precomputation based Sequential logic optimization for low power In Proceedings of the 1994 International Workshop on Low Power Design, pages 57-62, April 1994. [11].Anand Iyer, Demystify power gating and stop leakage cold, Cadence Design Systems, Inc. [12].De-Shiuan Chiou, Shih-Hsin Chen, Chingwei Yeh, "Timing driven power gating", Proceedings of the 43rd annual conference on Design automation,acm Special Interest Group on Design Automation, pp.121-124, 2006. [13].B.Perman, Design technologies for VLSI design, encyclopedia of computer science,1995. [14].Mentor Graphics, Low power physical design with Olympus SOC, Place and route white paper, March 27, 2009. [15]. Rahul. M.Rao, Jeffery L.Burns, Richard B.Brown, Circuit Techniques for gate and subthreshold leakage minimization in future CMOS technologies Proc. ISLPED, pp70-73, 2002. [16].J.kao, Siva Narendra, Ananta Chandra Kasan, Subthresh leakage modeling and reduction technique, 2002. [17] Prasad Subramanian, Power management for optimal power design, ESILICON, Corp.2010. Authors: Kanika Kaur (Associate Professor, KIIT, Gurgaon) received B.Sc (Electronics) Hons. Degree from Delhi University in 1997 and M.Sc (Electronics) Hons. Degree from Jamia Millia Islamia University in 1999.She received M.Tech degree from RTU in 2005 and presently pursuing Ph.D from the RTU in the field of Low power VLSI design-subthreshold leakage reduction technique for CMOS. She published more than 15 research papers in national, international journal & conferences. She has also published a book titled Digital System Design by SciTech Publication in 2009. Member of technical committee O-COCOSDA-10, International conference in the field of speech. Received best academic personality in 2008 and 2009 at NIEC, Delhi Arti Noor (Associate Professor) received B. Sc. And M. Sc. (Electronics) degree from Rohilkhand University Bareilly in 1983 & 1985, respectively. She received PhD degree in Microelectronics from Institute of Technology, BHU, Varanasi in 1990. Sh is currently Scientist-E (Associate Professor) and Head of department, in M.Tech VLSI Design, CDAC Noida. She published more than 20 research papers in national, international journals and conferences. Her research interest includes VLSI Design of semi or full custom chips for implementation of specific architecture, Low power VLSI Design, Digital Design, Mixed signal circuit design and RF cell library design using VHDL/Verilog-AMS Language. 165 Vol. 1,Issue 2,pp.159-165