DFEB Methodology Guidelines for Physical Design Engineers. Revision 2.1 November 9, 2009

Similar documents
University of Texas at Dallas. Department of Electrical Engineering. EEDG Application Specific Integrated Circuit Design

IL2225 Physical Design

How To Design A Chip Layout

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Introduction to Digital System Design

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler

Implementation Details

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i

Digital IC Design Flow

Space product assurance

Designing a Schematic and Layout in PCB Artist

Route Power 10 Connect Powerpin 10.1 Route Special Route 10.2 Net(s): VSS VDD

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Rapid Software Development with OpenAccess. Dean Marvin Exec Director, Product Development

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Tanner EDA L-edit (Layout Editor)

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems.

EECAD s MUST List MUST MUST MUST MUST MUST MUST MUST MUST MUST MUST

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu

Design and Verification of Nine port Network Router

Engineering Change Order (ECO) Support in Programmable Logic Design

How To Increase Areal Density For A Year

Quartus II Introduction for VHDL Users

A New Paradigm for Synchronous State Machine Design in Verilog

How To Integrate 3D-Ic With A Multi Layer 3D Chip

Using CAD Data in Assembly - Advantages and Pitfalls

Sequential 4-bit Adder Design Report

Lecture 7: Clocking of VLSI Systems

3D innovations: From design to reliable systems

Training Course of SOC Encounter

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

CADENCE LAYOUT TUTORIAL

LatticeECP3 High-Speed I/O Interface

MID, Flexible Circuits or Printed Circuit Boards? Technology Selection Based on Virtual Prototypes. 1 MID Congress 2010

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

Impact of Signal Integrity on System-On-Chip Design Methodologies

Constraint driven design using OrCAD PCB design tools

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: ext: Sequential Circuit

PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide

Lynx Design System Delivering Higher Productivity and Predictability in IC Design

7a. System-on-chip design and prototyping platforms

Design-Kits, Libraries & IPs

Lab 3 Layout Using Virtuoso Layout XL (VXL)

1-Port Wireless USB 2.0 Print Server Model # APSUSB201W. Quick Installation Guide. Ver. 2A

Graser User Conference Only

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology

Welcome to this presentation on LED System Design, part of OSRAM Opto Semiconductors LED 101 series.

Complete ASIC & COT Solutions

RTL Low Power Techniques for System-On-Chip Designs

An ASCII data format, used to describe a standard cell library

The Turning of JMP Software into a Semiconductor Analysis Software Product:

1. Submission Rules. 2. Verification tools. 3. Frequent errors

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

Lecture-3 MEMORY: Development of Memory:

IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR

Altium Designer Guide

PCB ROUTERS AND ROUTING METHODS

Testing of Digital System-on- Chip (SoC)

VHDL GUIDELINES FOR SYNTHESIS

ARM Microprocessor and ARM-Based Microcontrollers

Gi-Joon Nam, IBM Research - Austin Sani R. Nassif, Radyalis. Opportunities in Power Distribution Network System Optimization (from EDA Perspective)

9/14/ :38

Universal Flash Storage: Mobilize Your Data

PCB Design. Gabe A. Cohn. May Using Altium Designer/DXP/Protel. Electrical Engineering University of Washington

Quality. Stages. Alun D. Jones

Interactive Interface USERS /CMP

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic

Encounter DFT Architect

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces

Case Study: Improving FPGA Design Speed with Floorplanning

Design Methodology for Engineering Change Orders (ECOs) in a Flat Physical Standard Cells Based Design Environment

ATMEL FPGA 3rd User Group Workshop. 2010, 3rd June Christophe POURRIER

SCPD - System on Chip Physical Design

EXPERIMENT 8. Flip-Flops and Sequential Circuits

Architectures and Platforms

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.

3D IC Design and CAD Challenges

EEC 118 Lecture #17: Implementation Strategies, Manufacturability, and Testing

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

Analog control unit for mobile robots

CHAPTER 11: Flip Flops

Combinational Logic Design Process

Fairchild Solutions for 133MHz Buffered Memory Modules

Development of a Design & Manufacturing Environment for Reliable and Cost-Effective PCB Embedding Technology

Contents. Overview Memory Compilers Selection Guide

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies

ModelSim-Altera Software Simulation User Guide

Application Note: PCB Design By: Wei-Lung Ho

FPGA Prototyping Primer

Getting the Most Out of Synthesis

Transcription:

DFEB Methodology Guidelines for Physical Design Engineers Revision 2.1 November 9, 2009 1 1

Content Design for E-Beam: What and Why Shot Count Analysis Synthesis Best Practices for DFEB Place & Route Best Practices for DFEB Access to this presentation does not grant any license to US patent 7,579,606 and any other patents or intellectual property rights held or pending by D2S, Inc. 2

Design for E-Beam (DFEB): What and Why 3 3

DFEB vs. Mask Manufacturing Process Design Data DFEB overlay library and Stencil Mask E-Beam Direct Write (EbDW) Mask (Reticle) Wafers OPC Mask Manufacturing Test & Repair Stepper 4

Mask Cost is Top Concern Other 9% Test costs Packaging costs 0% 2% Semiconductor IP quality 6% Semiconductor IP cost and Inadequate EDA tools for 10% 13% Increased design complexity Higher-mask costs 26% 34% 0% 10% 20% 30% 40% Source: Global Semiconductor Association (GSA) member survey, December 2007 5

Enabling the Long Tail of SoCs Demand The long tail Popularity Rank Source: Chris Anderson s The long tail: Why the future of business is selling less of more 6

The Tail is Getting Shorter Revenue per Design We can enable the Tail with DFEB More designs Faster time to market Big opportunity Cost of Manufacturing Chips per Design Non-addressable Market 32-nm with mask 40-nm with mask 65-nm with mask Maskless SoC # of Designs 7

DFEB Uses Character Projection Electron Gun 1 st Aperture 2 st Aperture Demagnification (4 shots) (1 shot) Variable Shape Beam (VSB) Character Projection (CP) Drawing Courtesy Hitachi High-Technologies 8

DFEB Overview RTL w/ DFEB 10-25X VSB SP&R DFEB Overlay Library DFEB SP&R GDSII CoDesign GDSII No DFEB 3-5X VSB 193i Data Prep E-Beam Data Prep Stencil Mask E-Beam Data Prep Mask Making EbDW Format EbDW Format 9

DFEB Increases Throughput by Decreasing Shots 1/3-1/5 1/10-1/25 VSB CP VSB CP Today With CP With DFEB and CP DFEB achieves a 10-25X reduction by: 1. Co-designing the cell library and the stencil mask 2. Optimizing the physical design for CP Comparison Source: D2S, Inc. Computer simulation of e-beam write time on a particular test case (speed up is dependent on aperture size and utilization %) 10

DFEB Designs Are Compatible With Mask-based Volume Productions RTL w/ DFEB 10-25X VSB SP&R DFEB Overlay Library DFEB SP&R GDSII CoDesign GDSII No DFEB 3-5X VSB 193i Data Prep E-Beam Data Prep Stencil Mask E-Beam Data Prep Mask Making EbDW Format EbDW Format 11

Shot Count Analysis 12 12

Terminology Clarification Generic flow/steps: Refers to the flow you are already using DFEB flow/steps: Additional steps or data needed to augment the generic flow for DFEB 13

Why Shot Count Is So Important Generic flow optimizes for area, timing, yield and power In DFEB flow, also optimize for shot count Goal is defined at the beginning of the design Run shot count analysis at multiple points in the flow Design flow checkpoint Monitor and evaluate the cost of design trade-offs Shot count reports are refined in each step throughout the flow 14

Pre-RTL Shot Count Analysis Worksheet Pre-RTL Shot Count Estimation D2S DFEB Library: 45nm Low Power Design Name: DFEB test chip Taget Area (mm 2 ): 64.2 Estimated Gate Count: 24,000,000 Estimated FlipFlop in Design (%): 10.80% Estimated Combo Gates in Design (%): 89.10% Estimated Non-DFEB Gates in Design (%): 0.10% Excel spreadsheet already prepared and available for use for shot count analysis Total Single port RAM bits: 5,000,000 Number of 1-port RAM: 60 Total 2-ports RAM bits: 10,000,000 Number of 2-port RAM: 6 Total Number of Custom Circuit (IP): 1 Estimated Total Area of Custom Circuits (um 2 ): 240000 Parameter entries are based on Design Specification Total number of Port (pins) 794 Estimated Shot Count Report Estimated Area & Utilization Estimated core area(mm 2 ): 58.5943 58.5943 Estimated standard cells area(mm 2 ): 15.6000 16.2000 Estimated hard macros area(mm 2 ) 28.3650 30.1150 Estimated pads area(mm 2 ): 5.6057 5.6057 Estimated Total core utilization ((s+m)/core area): 75.03% 79.04% Estimated Total cells utilization ((s+m+p)/chip area): 77.21% 80.87% Estimated Total utilization((s+m+p+fillers)/chip area): 100.00% 100.00% Estimated Range Estimated VSB (OD-M1): 1,296,766,129 1,082,320,866 Estimated CP + VSB (OD-M1): 117,567,192 58,377,609 Estimated Shot Count Reduction Ratio (OD-M1): 11.03 18.54 Shot Count Analysis report output includes shot count for VSB, CP+VSB, and provides the range of shot count reduction ratios. Use this as the shot count goal. 15

Shot Count Report Example Model Count CP Shot Total VSB Shots Total DFEB Shots ------------------------------ ---------- ---------- -------------------- -------------------- OAI22X6_D2S 6533 4 326650 26132 IVX1_D2S 20137 4 583973 80548. SDFFRP_D2S 17461 8 5011307 139688. ------------------------------ ---------- ---------- -------------------- -------------------- Total 320793 27138554 1681143 Reduction Reports -------------------------------------------------- Shot Count Reduction Ratio (Cells): 16.14 16

Synthesis Best Practices for DFEB 17 17

Generic Synthesis Flow Start Env. Setup & Target Libraries Read RTL Elaborate Design Constraint Generic RTL synthesis steps Optimization/ Mapping Timing Analysis Meet Spec? No Yes Release to P&R Done 18

DFEB Synthesis Flow Start Pre-RTL Shot Count Analysis Env. Setup & Target Libraries Read RTL Elaborate Design Constraint DFEB includes additional steps for shot count optimization and analysis Shot Count Optimization Optimization/ Mapping Shot Count Analysis Timing Analysis Shot Count Goal Meet Spec? Yes Release to P&R No Done 19

What Is NOT Changed No change to RTL No change to SDC constraint files Same ECO refinement process used to get design to meet the Area, Timing, and Power. No change to timing analysis scripts or commands 20

DFEB Synthesis Steps 21 21

Environment & Library Setup Add DFEB overlay library to the target generic libraries Otherwise the same as the generic synthesis tools setup 22

Import RTL Netlist and Elaborate Perform generic procedure to read in the RTL Elaborate the design Perform generic procedure to read in SDC constraints 23

Shot Count Optimization Prior to optimization and mapping, run shot count optimization setup script to set additional constraints to prefer DFEB overlay library cells Run generic optimization/mapping command to perform timing, area, and power optimization 24

Shot Count Analysis After optimization, run shot count analysis to: Reset the cell attributes so that other reports are accurate Generate a shot count report Compare the shot count reported to the shot count goal Shot count analysis is based on cell count without taking wire routing, filler cells, and well taps in consideration Then run timing analysis and other reports 25

Synthesis ECO Perform ECO to fix critical timing, area, or power issues with these in mind: Based on DFEB constraints, virtually all cells will be DFEB overlay library cells Where necessary, fix critical timing paths using non-dfeb (generic) cells with better performance at the cost of increased shot count 26

Release to Place & Route Release to place & route when timing, area, power and shot count goals are met Generate SDC for P&R tools as you would in generic flow 27

Place & Route Best Practices for DFEB 28 28

Generic Place & Route Flow Start Env. & Physical Libraries Setup Read Netlist & SDC Floorplanning Cell Placement Clock Tree Synthesis Generic Place & Route steps Detailed Routing Timing Analysis Meet Spec? No Yes GDSII for tapeout Done 29

DFEB Place & Route Flow Start DFEB Overlay Library Prep Shot Count Analysis Env. & Physical Libraries Setup Read Netlist & SDC Floorplanning Cell Placement Clock Tree Synthesis Detailed Routing Timing Analysis DFEB includes additional steps for shot count optimization and analysis Shot Count Goal Meet Spec? Yes GDSII for tapeout No Done 30

DFEB Overlay Library Preparation Purpose: minimize shot count Set the following attributes in the DFEB overlay library to make them the default for the tools: Orientation preference Pin access and connection preference Metal1 routing preference Can always override attributes if needed (to meet other constraints) 31

Orientation Preference North or Flip-South orientation is preferred for standard cells North (R0) Flip-South (MX) North or Flip-South orientation is preferred for RAM/ROM macros North (R0) Flip-South (MX) Shot count Warning: Standard cells and RAM/ROM macros that do not follow the predefined orientations will be shot with VSB instead of CP, increasing shot count. 32

Floorplanning Examples Non-preferred orientation for RAMS. RAMs with non-preferred orientation will be shot with VSB, and therefore increase the shot count. Trade off is between congestion and shot count. Recommended: Use non-preferred orientation only when needed to relieve routing congestion. 33

Pin Access and Via Drop Preferences Enclosed via geometries completely inside standard cell pins Enclosed via geometries extended outside the standard cell pins Refer to the P&R tools documentation for routing options to drop vias inside the standard cell pins Preferred Not Preferred (Extra VSB shots) 34

Metal1 Routing Preferences Stub routes and M1 routes Metal1 stub routes will increase shot count Shot count Warning: Avoid Metal1 Stub Routing (Use tools option to turn off these types of routing) 35

A Bit of Background e - e - e - Beam Blur Increase Large Opening e - e - e - e - e - e - e - e - Coulomb interaction 1.59um^2 6.83um^2 Electrons in an e-beam repel each other As an e-beam becomes larger, printed image gets more blurred (see picture on right) Using close to maximum allowed e-beam size is important for less shot count. Source: Maruyama, et.al., EIPBN 2009 36

Power Routing Has High Impact on Shot Count Power routing is a very large area The Coulomb effect dictates the maximum area per shot CP can write wires longer than 2µm more accurately than VSB Due to possible misalignment of first and second apertures for VSB CP relies on the shape of the stencil alone 1 st aperture, misaligned 1 st aperture, misaligned 2 nd aperture (VSB) 2 nd aperture (CP) Printed shape Skewed shape printed by VSB 1 st aperture misalignment does not affect CP 37

Power Grid Planning Using Wide Metals Extended area is shot using VSB 14 CP shots (Preferred) 14 CP shots + VSB shots (Avoid) Choose a unit width, and use whole multiples of this width Fewer, wider power stripes result in lower shot count 38

Example of Power Grid Planning 3um 2um Wide metals have widths of 2µm and 3µm (whole multiples of 1µm) 39

Cell Placement Perform generic cell placement step Shot count analysis after this step produces shot count report excluding shot count used for routing layers. 40

Clock Tree Synthesis (CTS) Specify buffers, inverters, and delay cells from the DFEB overlay library Perform CTS using the above DFEB cells Shot count analysis will now include the clock tree cells 41

Detail Routing and Timing Closure Perform generic detailed routing step for timing closure No M1 for routing Via1 placed within a pin For compatibility to photo-mask manufacturing, plan to do DFM design also Shot count analysis will now include the detail routing 42

Shot Count Analysis Accuracy Shot count reports are refined in each step Pre-RTL shot count analysis Excel spreadsheet-based analysis. Parameter entries are based on design specification. More Accurate After synthesis After power routing After placement After clock tree synthesis After detail routing Shot count based on synthesis netlist Shot count includes power routing Shot count includes cell sizing, buffer insertion, and well taps Shot count includes clock tree buffers and inverters Most accurate shot count report. Includes routing and filler cells. 43

DRC and LVS Perform generic DRC and LVS steps Export final GDSII for tape-out 44

Summary DFEB flow and DFEB overlay library enable EbDW IC manufacturing DFEB design methodology includes few additional steps for shot count optimization and analysis Shot count analysis throughout the flow monitors shot count and design trade offs DFEB design methodology is largely the same as a generic cell-based design methodology DFEB designs are fully compatible and can be manufactured with optical lithography for volume production DFEB benefits above are obtained through a D2S license. (U.S. Patent No. 7,579,606 and patents pending). 2009 D2S, Inc. All rights reserved. 45

Summary of Abbreviations CP DFEB EbDW VSB Character Projection Design for E-Beam E-beam Direct Write Variable Shape Beam 46

47 47