ATMEL FPGA 3rd User Group Workshop. 2010, 3rd June Christophe POURRIER

Size: px
Start display at page:

Download "ATMEL FPGA 3rd User Group Workshop. 2010, 3rd June Christophe POURRIER"

Transcription

1 ATMEL FPGA 3rd User Group Workshop 2010, 3rd June Christophe POURRIER

2 Summary Sodern first experience with AT40K Megha-Tropiques Project PHARAO Project ATF280 Evaluation Tests performed on the first development kit ATF280E place and route capability Conclusion Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 2

3 Sodern experience Megha-Tropiques

4 Megha-Tropiques Satellite to scan tropical regions on the earth (meteo data) France-India scientific mission (CNES / ISRO) 3 instruments : SCARAB (optical sensor), SAPHIR and MADRAS (microwaves radiometer) SCARAB and SAPHIR are composed of two parts : a sensor or radiometer part and a calculator part SODERN in charge of SCARAB and SAPHIR calculators called Electronic Module : Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 4

5 Megha-Tropiques Each instrument : A Power Supply Board A CPU Board : ATMEL AT697E µp and SODERN ASIC from ATMEL MH1RT The C&C Board : 2 FPGA AT40KFL MQFP-160 and 2 EEPROM AT17LV10 Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 5

6 Megha-Tropiques 1srt FPGA, common for the 2 instruments : SpaceWire Link 3 clocks are used 20 MHz clock from a Quartz Oscillator 10 MHz clock for the Spacewire transmitter 12 MHz clock for the Spacewire receiver (24 Mbps) 1 derivated clock (receiver clock) is crossed back outside the FPGA on a dedicated input clock pin to guarantee the clock tree route Rmap and time code are excluded packets decoding PWM output signals processing data synchronization with the 2 nd FPGA (4 MHz clock) Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 6

7 Megha-Tropiques 2nd FPGA : 2 different designs (one for each instrument) : Packets decoding DAC control Servo-control data acquisition from DAC Telemetry acquisition from DAC Sensor / Radiometer sequencing Scientific data acquisition and processing (average) Data packaging for Spacewire packets Datation management with the Payload Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 7

8 Megha-Tropiques 1srt FPGA : 629 Cells = 30 % Maximum frequencies (Primetime): 2nd FPGA : 12 MHz Clock 30 MHz 20 Mhz clock 34 MHz 10 MHz clock 13 MHz Saphir : 1323 Cells = 57 % Scarab : 988 Cells = 42 % Maximum frequencies: Scarab Saphir 4 MHz Clock 9 MHz 9 MHz Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 8

9 Megha-Tropiques I/F available on the equipement to re-program the FPGAs through the EGSE Equipement Use of RS422 signal level to reprogram from a long distance RS422 signals Already used to upgrade the FPGA designs after new requirements (after equipment delivery to CNES) => very useful, no need of PPBI EGSE SCARAB instrument currently in India for Payload assembly SAPHIR instrument to be sent in the next few days to India Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 9

10 Sodern experience PHARAO

11 PHARAO Experience A cesium clock to fly aboard the International Space Station Scientific experience, prime ESA 4 parts : Cesium Tube, Laser Source, HF Source, Calculator UGB SODERN in charge of the Cesium Tube and the Laser Source Cesium Tube Laser Source Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 11

12 PHARAO Experience The Laser Source : 23 electronic boards Among these boards, a Digital Board centralizes data (telecommands and telemetries) The Laser Source (proto) Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 12

13 PHARAO Experience The Digital Board: 3 FPGA AT40KFL MQFP EEPROM AT17LV010 3 Proto Boards available today The Flight Model Board is about to be tested in the next few days Top Side of Proto Board Reverse side of Proto Board Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 13

14 PHARAO Experience The FPGAs are sequentially powered up to separate the peak current of each FPGA The common reset of the FPGAs is de-asserted when all the FPGA are configured The Designs : common 7.5 MHz clock common reset use of 4Kb of internal SRAM in the first FPGA Results : FPGA #1 FPGA #2 FPGA #3 Cells 37% 30% 30% RAM blocks 25% 0 0 IO Pads 71% 72% 79% 7.5 MHz clock 9 MHz 14 MHz 15 MHz Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 14

15 PHARAO Experience Need to implement 1000µF of capacitors to withstand the peak current at power-up. Use of internal Clamp Diode option to withstand 5V on input pads Use the Attribute GCLKBUF in VHDL code to force signal to a clock buffer gate. IBUFR macro in IDS have been removed since the IO does not work correctly. Only the IBUF macro is used. Problems in post-layout simulation with Modelsim: X signals => pb of double parenthesis : solved in IDS d timing violations with the RAM => solved in IDS We Use a batch file (.fbf). Problem to invoke pin and attributes files through the batch file. To be solved Only the EEPROM can be configured with the programmer tool CPS. It would be interesting to configure only the FPGA. It would be interesting to create macro functions in a batch file. Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 15

16 ATF280 - Tests performed on the first development kit

17 ATF280 - Tests performed on the 1st dev kit Use of the Spacewire Link Analyser (SLA) (StarDundee) Test of LVDS Pads 2 Spacewire links in the FPGA (~5% of Cells) The 2 links are connected to a «Spy box» (SLA) Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 17

18 ATF280 - Tests performed on the 1st dev kit Ramp up time control is mandatory for the 1.8V core supply. We tried unsuccessfully to power on with a strong power supply (20A) : sink current raises up to 7A at power on. The start fails : the core voltage falls to 1.2V and the sink current remains at 4A. power on failure : 1: U-I/O 2: U-core 4: I-core Fortunately, the FPGA still works afterwards. Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 18

19 ATF280 - Tests performed on the 1st dev kit Power supplies Scope 3.3V 1A U-I/O 1.8V 6A U-core PC I-core Spacewire Link Analyser Development kit Without a power generator with programmable voltage ramp up time, we perform the ramp manually (another solution : warm up the FPGA at 70 C). We use a power supply which provides up to 6A. When the power on is successful, the sink current falls to 10 ma (Atmel design is loaded in the FPGA). Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 19

20 ATF280 - Tests performed on the 1st dev kit The design is composed of 2 Spacewire codecs, driven by two packet generators. Data received are checked by two modules. Disconnections are displayed on leds. Input clock frequency is 10 MHz (the codecs use a 10-Mbit SDR transfer). Synthesis performed with Precision RTL. Place & route performed with Figaro IDS First test failed : the design does not work, we presume spacewire routed clocks were badly routed inside the FPGA. Second test is successful : spacewire clocks are crossed back outside the FPGA; they enter dedicated clock pins. The good operating conditions are checked thanks to the spacewire analyser: no error is recorded ; data characters per seconds are exchanged for each side 6,6 Mbit/s. Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 20

21 ATF280 - Tests performed on the 1st dev kit Tests of frequency performance : the design frequency is increased thanks to the clock generator on the backplane board. Test result : 10 MHz : successful 20 MHz : successful 40 MHz : failed The 40-MHz test fails, probably due to external spacewire clocks routing. Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 21

22 ATF280E place and route capability

23 ATF280E place and route capability implementation test of SODERN s processor companion chip design (EU-ASIC) on the FPGA : the design is composed of : x1 PCI controller with a DMA engine (PLDA source) x Remote Terminal (Astrium source) x1 base of registers x4 Spacewire codec x1 ASCS16 controller others modules (Pwm, IT controller, delta-sigma conversion, ) Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 23

24 ATF280E place and route capability implementation of the EU-ASIC design on the FPGA : synthesis result : C-Cells : 180 % R-Cells : 29 % Ram blocks are set as black boxes The synthesis seems not be optimized, since C-Cells and R-Cells are separated. There is no R+C Cell assessment, whereas the ATF280E owns a single kind of cell based on a flip-flop and LUT elements. The whole design does not fit (180% of C-cells). Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 24

25 ATF280E place and route capability implementation of each modules separately of the EU-ASIC design on the FPGA ; Place & Route results are extracted after IDS compilation. Synthesis results Place & Route Results C-cells R-Cells Cells 32x4 RAM blocks PCI controller % 24 3% ASCS16 controller % 0 0% 1553 Remote Terminal % % SpaceWire codec % % Base of registers % 28 3% DMA PCI Engine % 36 4% March codec % 0 0% others % 0 0% TOTAL % % P&R Cells are less numerous than Synthesis C-Cells or R-Cells, which show IDS may optimize synthesis result by concatenating R Cells and C Cells. Total : 147 % of cells ; 78 % of RAM blocks. Assessment is less than synthesis results, but it is about 150%. Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 25

26 ATF280E place and route capability implementation of dedicated design: a large RAM in the FPGA synthesis and a complete place and route are performed to assess place and route capability. the RAM is a synchronous dual port RAM with two address bus, two 32-bit data bus, a single clock, write and read commands. Cells number Cells % RAM blocks RAM % Place & route result 32-Kbit RAM (1Kx32) % % successful 64-Kbit RAM (2Kx32) % % successful 82-Kbit RAM (2.5Kx32) % % failed Place and Route capability is limited : we estimate the 64-Kbit RAM has nearly reached the maximum place and route capability. Since P&R is limited for RAM, this may reduce P&R capability for Cells. Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 26

27 ATF280E place and route capability implementation of a large design in the FPGA : synthesis and a complete place and route are performed to assess place and route capability. the design is composed of 3 independent parts : two 1553 remote terminal and one 64-Kbit dual port RAM. RAM Place & route Cells number Cells % blocks RAM % result % % successful The place & route is successful. It takes about 5 hours for IDS to achieve place and route. The place route capability is limited : we estimate the present design has nearly reached the maximum place and route capability. Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 27

28 ATF280E place and route capability ATF280E versus RTAX2000S with the same design EU-ASIC: Cells Cells % RAM blocks RAM % RAX2000S / % 54 / 64 84% ATF280E / % 704 / % With a place & route capability of 50%, we estimate the ATF280E is 1/5 of RTAX2000S concerning Logic cells. Concerning RAM, the ATF280E offers a better arrangement of RAM : data length is arranged by step of 4 bits instead of 9 bits for small RAM (depth <512) in RTAX2000S, which avoids bit waste. Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 28

29 Conclusion

30 ATF280E conclusion Sink current reaches 7A at power on => solved in the next silicon (to be tested on the new dev board) The ATF280E has the same problem of routed clocks distribution as the AT40KFL040. External loop back is a solution for low frequencies (below 20 MHz) but can not be performed for high frequencies. LVDS I/O operate correctly without specific resistances to drop the voltage level (to compare with Altera FPGA). Place and route is limited : we estimate 50% of Cells and 50% of RAM is the limit to provide an easy re-configurability (even if better rate can be achieved on a case by case basis). Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 30

31 ATF280E conclusion ATF280E logic resources covers 1/5 of a RTAX2000S (place & route capability included). ATF280E offers a good arrangement of Free RAM which avoids bit waste. The design EU-ASIC can not fit in the ATF280E : better fit is possible by optimizing large modules. A long time for design development must be taken into account. Next step : test of the new silicon on the new dev kit. Titre de la présentation Auteur 07/06/2010 EADS SODERN Confidential-SODERN s Intellectual property-all rights reserved 31

32 Thank you for your attention

Design of a High Speed Communications Link Using Field Programmable Gate Arrays

Design of a High Speed Communications Link Using Field Programmable Gate Arrays Customer-Authored Application Note AC103 Design of a High Speed Communications Link Using Field Programmable Gate Arrays Amy Lovelace, Technical Staff Engineer Alcatel Network Systems Introduction A communication

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Open Flow Controller and Switch Datasheet

Open Flow Controller and Switch Datasheet Open Flow Controller and Switch Datasheet California State University Chico Alan Braithwaite Spring 2013 Block Diagram Figure 1. High Level Block Diagram The project will consist of a network development

More information

Serial port interface for microcontroller embedded into integrated power meter

Serial port interface for microcontroller embedded into integrated power meter Serial port interface for microcontroller embedded into integrated power meter Mr. Borisav Jovanović, Prof. dr. Predrag Petković, Prof. dr. Milunka Damnjanović, Faculty of Electronic Engineering Nis, Serbia

More information

2.0 Command and Data Handling Subsystem

2.0 Command and Data Handling Subsystem 2.0 Command and Data Handling Subsystem The Command and Data Handling Subsystem is the brain of the whole autonomous CubeSat. The C&DH system consists of an Onboard Computer, OBC, which controls the operation

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

Chapter 13. PIC Family Microcontroller

Chapter 13. PIC Family Microcontroller Chapter 13 PIC Family Microcontroller Lesson 01 PIC Characteristics and Examples PIC microcontroller characteristics Power-on reset Brown out reset Simplified instruction set High speed execution Up to

More information

Fondamenti su strumenti di sviluppo per microcontrollori PIC

Fondamenti su strumenti di sviluppo per microcontrollori PIC Fondamenti su strumenti di sviluppo per microcontrollori PIC MPSIM ICE 2000 ICD 2 REAL ICE PICSTART Ad uso interno del corso Elettronica e Telecomunicazioni 1 2 MPLAB SIM /1 MPLAB SIM is a discrete-event

More information

Software Defined Radio Architecture for NASA s Space Communications

Software Defined Radio Architecture for NASA s Space Communications From July 2007 High Frequency Electronics Copyright 2007 Summit Technical Media Software Defined Radio Architecture for NASA s Space Communications By Maximilian C. Scardelletti, Richard C. Reinhart, Monty

More information

Networking Virtualization Using FPGAs

Networking Virtualization Using FPGAs Networking Virtualization Using FPGAs Russell Tessier, Deepak Unnikrishnan, Dong Yin, and Lixin Gao Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Massachusetts,

More information

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule All Programmable Logic Hans-Joachim Gelke Institute of Embedded Systems Institute of Embedded Systems 31 Assistants 10 Professors 7 Technical Employees 2 Secretaries www.ines.zhaw.ch Research: Education:

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Prof. David Lariviere Columbia University Spring 2014 Overview What are IP Cores? Altera Design Tools for using and integrating IP Cores Overview of various IP Core Interconnect

More information

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Contents Überblick: Aufbau moderner FPGA Einblick: Eigenschaften

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor Von der Hardware zur Software in FPGAs mit Embedded Prozessoren Alexander Hahn Senior Field Application Engineer Lattice Semiconductor AGENDA Overview Mico32 Embedded Processor Development Tool Chain HW/SW

More information

MONOCHROME RGB YCbCr VIDEO DIGITIZER

MONOCHROME RGB YCbCr VIDEO DIGITIZER Active Silicon SNAPPER-PMC-8/24 MONOCHROME RGB YCbCr VIDEO DIGITIZER High quality analogue video acquisition board with square pixel sampling for CCIR, EIA (RS-170) standards, and nonstandard video formats.

More information

Pre-tested System-on-Chip Design. Accelerates PLD Development

Pre-tested System-on-Chip Design. Accelerates PLD Development Pre-tested System-on-Chip Design Accelerates PLD Development March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 Pre-tested

More information

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition A Tutorial Approach James O. Hamblen Georgia Institute of Technology Michael D. Furman Georgia Institute of Technology KLUWER ACADEMIC PUBLISHERS Boston

More information

X 4 CONFIDENTIAL X 4 OTHER PROGRAMME: CUSTOMER: CONTRACT NO.: WPD NO.: DRD NO.: CONTRACTUAL DOC.:

X 4 CONFIDENTIAL X 4 OTHER PROGRAMME: CUSTOMER: CONTRACT NO.: WPD NO.: DRD NO.: CONTRACTUAL DOC.: Date: Aug. 2002 Page: ii Contraves Space AG Schaffhauserstr. 580 CH-8052 Zurich Switzerland CLASS 1 UNRESTRICTED 1 2 INDUSTRY 2 3 RESTRICTED 3 CATEGORY CONFIGURED, FOR APPROVAL NOT CONFIGURED, FOR APPROVAL

More information

Test Driven Development of Embedded Systems Using Existing Software Test Infrastructure

Test Driven Development of Embedded Systems Using Existing Software Test Infrastructure Test Driven Development of Embedded Systems Using Existing Software Test Infrastructure Micah Dowty University of Colorado at Boulder micah@navi.cx March 26, 2004 Abstract Traditional software development

More information

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit 1 Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENT OF FOR THE DEGREE IN Bachelor of Technology In Electronics and Communication

More information

Concept Engineering Adds JavaScript-based Web Capabilities to Nlview at DAC 2016

Concept Engineering Adds JavaScript-based Web Capabilities to Nlview at DAC 2016 KAL - Large IP Cores: Memory Controllers: SD/SDIO 2.0/3.0 Controller SDRAM Controller DDR/DDR2/DDR3 SDRAM Controller NAND Flash Controller Flash/EEPROM/SRAM Controller Dear , Concept Engineering

More information

SKP16C62P Tutorial 1 Software Development Process using HEW. Renesas Technology America Inc.

SKP16C62P Tutorial 1 Software Development Process using HEW. Renesas Technology America Inc. SKP16C62P Tutorial 1 Software Development Process using HEW Renesas Technology America Inc. 1 Overview The following tutorial is a brief introduction on how to develop and debug programs using HEW (Highperformance

More information

MSITel provides real time telemetry up to 4.8 kbps (2xIridium modem) for balloons/experiments

MSITel provides real time telemetry up to 4.8 kbps (2xIridium modem) for balloons/experiments The MSITel module family allows your ground console to be everywhere while balloon experiments run everywhere MSITel provides real time telemetry up to 4.8 kbps (2xIridium modem) for balloons/experiments

More information

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division Introduction to Programmable Logic Devices John Coughlan RAL Technology Department Detector & Electronics Division PPD Lectures Programmable Logic is Key Underlying Technology. First-Level and High-Level

More information

SpW-10X Network Performance Testing. Peter Mendham, Jon Bowyer, Stuart Mills, Steve Parkes. Space Technology Centre University of Dundee

SpW-10X Network Performance Testing. Peter Mendham, Jon Bowyer, Stuart Mills, Steve Parkes. Space Technology Centre University of Dundee SpW-0X Network Performance Testing Peter Mendham, Jon Bowyer, Stuart Mills, Steve Parkes Space Technology Centre University of Dundee Before I Start... POR configuration of 0X Sets defaults for each port

More information

AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD)

AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD) AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD) Samuel Lakeou, University of the District of Columbia Samuel Lakeou received a BSEE (1974) and a MSEE (1976)

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 2922 ISSN 2229-5518

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 2922 ISSN 2229-5518 International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 2922 Design and Verification of a Software Defined radio platform using Modelsim and Altera FPGA. Barun Sharma,P.Nagaraju,Krishnamurthy

More information

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit Modeling Sequential Elements with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 4-1 Sequential Circuit Outputs are functions of inputs and present states of storage elements

More information

Memory Systems. Static Random Access Memory (SRAM) Cell

Memory Systems. Static Random Access Memory (SRAM) Cell Memory Systems This chapter begins the discussion of memory systems from the implementation of a single bit. The architecture of memory chips is then constructed using arrays of bit implementations coupled

More information

LatticeECP3 High-Speed I/O Interface

LatticeECP3 High-Speed I/O Interface April 2013 Introduction Technical Note TN1180 LatticeECP3 devices support high-speed I/O interfaces, including Double Data Rate (DDR) and Single Data Rate (SDR) interfaces, using the logic built into the

More information

Microcontroller Based Low Cost Portable PC Mouse and Keyboard Tester

Microcontroller Based Low Cost Portable PC Mouse and Keyboard Tester Leonardo Journal of Sciences ISSN 1583-0233 Issue 20, January-June 2012 p. 31-36 Microcontroller Based Low Cost Portable PC Mouse and Keyboard Tester Ganesh Sunil NHIVEKAR *, and Ravidra Ramchandra MUDHOLKAR

More information

Use of Reprogrammable FPGA on EUCLID mission

Use of Reprogrammable FPGA on EUCLID mission 19/05/2016 Workshop su Applicazioni FPGA in ambito Astrofisico Raoul Grimoldi Use of Reprogrammable FPGA on EUCLID mission Euclid mission overview EUCLID is a cosmology mission part of Cosmic Vision 2015-2025

More information

International Journal of Advancements in Research & Technology, Volume 2, Issue3, March -2013 1 ISSN 2278-7763

International Journal of Advancements in Research & Technology, Volume 2, Issue3, March -2013 1 ISSN 2278-7763 International Journal of Advancements in Research & Technology, Volume 2, Issue3, March -2013 1 FPGA IMPLEMENTATION OF HARDWARE TASK MANAGEMENT STRATEGIES Assistant professor Sharan Kumar Electronics Department

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

C8051F020 Utilization in an Embedded Digital Design Project Course. Daren R. Wilcox Southern Polytechnic State University Marietta, Georgia

C8051F020 Utilization in an Embedded Digital Design Project Course. Daren R. Wilcox Southern Polytechnic State University Marietta, Georgia C8051F020 Utilization in an Embedded Digital Design Project Course Daren R. Wilcox Southern Polytechnic State University Marietta, Georgia Abstract In this paper, the utilization of the C8051F020 in an

More information

The Programming Interface

The Programming Interface : In-System Programming Features Program any AVR MCU In-System Reprogram both data Flash and parameter EEPROM memories Eliminate sockets Simple -wire SPI programming interface Introduction In-System programming

More information

DKWF121 WF121-A 802.11 B/G/N MODULE EVALUATION BOARD

DKWF121 WF121-A 802.11 B/G/N MODULE EVALUATION BOARD DKWF121 WF121-A 802.11 B/G/N MODULE EVALUATION BOARD PRELIMINARY DATA SHEET Wednesday, 16 May 2012 Version 0.5 Copyright 2000-2012 Bluegiga Technologies All rights reserved. Bluegiga Technologies assumes

More information

Freescale Semiconductor, Inc. Product Brief Integrated Portable System Processor DragonBall ΤΜ

Freescale Semiconductor, Inc. Product Brief Integrated Portable System Processor DragonBall ΤΜ nc. Order this document by MC68328/D Microprocessor and Memory Technologies Group MC68328 MC68328V Product Brief Integrated Portable System Processor DragonBall ΤΜ As the portable consumer market grows

More information

Remote Level Monitoring Unit

Remote Level Monitoring Unit Remote Level Monitoring Unit SPECIFICATIONS LASER MEASUREMENT Type InGasAs Laser Diode Wavelength 905 nm Beam Divergence 2.3 x 0.2 mrad (typical) Passive Range 0.5m to 150m Range to Reflective Target 0.5m

More information

Voice Dialer Speech Recognition Dialing IC

Voice Dialer Speech Recognition Dialing IC Speech Recognition Dialing IC Speaker Dependent IC for Voice Dialing Applications GENERAL DESCRIPTION The IC, from the Interactive Speech family of products, is an application specific standard product

More information

SPP-NIDS - A Sea of Processors Platform for Network Intrusion Detection Systems

SPP-NIDS - A Sea of Processors Platform for Network Intrusion Detection Systems SPP-NIDS - A Sea of Processors Platform for Network Intrusion Detection Systems Luís Carlos Caruso, Guilherme Guindani, Hugo Schmitt, Ney Calazans, Fernando Moraes Faculdade de Informática PUCRS Av. Ipiranga

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

DAC Digital To Analog Converter

DAC Digital To Analog Converter DAC Digital To Analog Converter DAC Digital To Analog Converter Highlights XMC4000 provides two digital to analog converters. Each can output one analog value. Additional multiple analog waves can be generated

More information

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview Technical Note TN-29-06: NAND Flash Controller on Spartan-3 Overview Micron NAND Flash Controller via Xilinx Spartan -3 FPGA Overview As mobile product capabilities continue to expand, so does the demand

More information

Modeling a GPS Receiver Using SystemC

Modeling a GPS Receiver Using SystemC Modeling a GPS Receiver using SystemC Modeling a GPS Receiver Using SystemC Bernhard Niemann Reiner Büttner Martin Speitel http://www.iis.fhg.de http://www.iis.fhg.de/kursbuch/kurse/systemc.html The e

More information

The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links. Filippo Costa on behalf of the ALICE DAQ group

The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links. Filippo Costa on behalf of the ALICE DAQ group The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links Filippo Costa on behalf of the ALICE DAQ group DATE software 2 DATE (ALICE Data Acquisition and Test Environment) ALICE is a

More information

AN FPGA FRAMEWORK SUPPORTING SOFTWARE PROGRAMMABLE RECONFIGURATION AND RAPID DEVELOPMENT OF SDR APPLICATIONS

AN FPGA FRAMEWORK SUPPORTING SOFTWARE PROGRAMMABLE RECONFIGURATION AND RAPID DEVELOPMENT OF SDR APPLICATIONS AN FPGA FRAMEWORK SUPPORTING SOFTWARE PROGRAMMABLE RECONFIGURATION AND RAPID DEVELOPMENT OF SDR APPLICATIONS David Rupe (BittWare, Concord, NH, USA; drupe@bittware.com) ABSTRACT The role of FPGAs in Software

More information

ABB RTU560A Series CMU & Modules

ABB RTU560A Series CMU & Modules ABB RTU560A Series CMU & Modules 1KGT 150 648 V1.02 March 4, 2012 Slide 1 Contents RTU560A rack solutions March 4, 2012 Slide 2 560CSR01 Available for wall mounting and swing frame Supports redundant power

More information

Display Message on Notice Board using GSM

Display Message on Notice Board using GSM Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 7 (2013), pp. 827-832 Research India Publications http://www.ripublication.com/aeee.htm Display Message on Notice Board

More information

Ingar Fredriksen AVR Applications Manager. Tromsø August 12, 2005

Ingar Fredriksen AVR Applications Manager. Tromsø August 12, 2005 Ingar Fredriksen AVR Applications Manager Tromsø August 12, 2005 Atmel Norway 2005 Atmel Norway 2005 The history of computers Foundation for modern computing 3 An automatic computing machine must have:

More information

Solutions for Increasing the Number of PC Parallel Port Control and Selecting Lines

Solutions for Increasing the Number of PC Parallel Port Control and Selecting Lines Solutions for Increasing the Number of PC Parallel Port Control and Selecting Lines Mircea Popa Abstract: The paper approaches the problem of control and selecting possibilities offered by the PC parallel

More information

Exploiting Stateful Inspection of Network Security in Reconfigurable Hardware

Exploiting Stateful Inspection of Network Security in Reconfigurable Hardware Exploiting Stateful Inspection of Network Security in Reconfigurable Hardware Shaomeng Li, Jim Tørresen, Oddvar Søråsen Department of Informatics University of Oslo N-0316 Oslo, Norway {shaomenl, jimtoer,

More information

FLYPORT Wi-Fi 802.11G

FLYPORT Wi-Fi 802.11G FLYPORT Wi-Fi 802.11G System on module 802.11g WIFI - Infrastructure mode - softap mode - Ad hoc mode Microchip PIC 24F 16 bit processor Microchip MRF24WG0MA/MB - Native WiFi 802.11g transceiver - PCB

More information

VALIDATION AND TESTING OF AN IP CODEC FOR HIGH BANDWIDTH SPACEWIRE LINK 1

VALIDATION AND TESTING OF AN IP CODEC FOR HIGH BANDWIDTH SPACEWIRE LINK 1 VALIDATION AND TESTING OF AN IP CODEC FOR HIGH BANDWIDTH SPACEWIRE LINK 1 Session: SpaceWire Test and Verification (Poster) Short Paper R. Castillo, J. Martín, J. Almena, M. Prieto, D. Guzmán, S. Sánchez

More information

TURBO PROGRAMMER USB, MMC, SIM DEVELOPMENT KIT

TURBO PROGRAMMER USB, MMC, SIM DEVELOPMENT KIT TURBO PROGRAMMER USB, MMC, SIM DEVELOPMENT KIT HARDWARE GUIDE This document is part of Turbo Programmer documentation. For Developer Documentation, Applications and Examples, see http:/// PRELIMINARY (C)

More information

FPGAs in Next Generation Wireless Networks

FPGAs in Next Generation Wireless Networks FPGAs in Next Generation Wireless Networks March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

APPLICATION NOTE AN-409

APPLICATION NOTE AN-409 MEMORY SIMPLIFIES WIRELESS BASE STATION DESIGN APPLICATION NOTE AN-409 ABSTRACT Recent research has shown that the digital signal processor (DSP)/ Dual port/ field programmable gate array (FPGA) chain

More information

STAR-LAUNCH AND NETWORK DISCOVERY

STAR-LAUNCH AND NETWORK DISCOVERY STAR-LAUNCH AND NETWORK DISCOVERY Session: SpaceWire Networks and Protocols Long Paper Stuart Mills, Chris McClements STAR-Dundee, c/o School of Computing, University of Dundee, Dundee, Scotland, UK Steve

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

Silicon Seminar. Optolinks and Off Detector Electronics in ATLAS Pixel Detector

Silicon Seminar. Optolinks and Off Detector Electronics in ATLAS Pixel Detector Silicon Seminar Optolinks and Off Detector Electronics in ATLAS Pixel Detector Overview Requirements The architecture of the optical links for the ATLAS pixel detector ROD BOC Optoboard Requirements of

More information

DS1104 R&D Controller Board

DS1104 R&D Controller Board DS1104 R&D Controller Board Cost-effective system for controller development Highlights Single-board system with real-time hardware and comprehensive I/O Cost-effective PCI hardware for use in PCs Application

More information

EMC-conform development of a Tablet-PC

EMC-conform development of a Tablet-PC EMC-conform development of a Tablet-PC January 21, 2014 Johannes Biner Electrosuisse Montena EMC Bernstrasste 93 CH - 3006 Bern Tel. +41 79 256 21 55 Johannes.biner@montenaemc.ch www.montenaemc.ch Programm

More information

Using FPGAs to Design Gigabit Serial Backplanes. April 17, 2002

Using FPGAs to Design Gigabit Serial Backplanes. April 17, 2002 Using FPGAs to Design Gigabit Serial Backplanes April 17, 2002 Outline System Design Trends Serial Backplanes Architectures Building Serial Backplanes with FPGAs A1-2 Key System Design Trends Need for.

More information

Atmel Norway 2005. XMEGA Introduction

Atmel Norway 2005. XMEGA Introduction Atmel Norway 005 XMEGA Introduction XMEGA XMEGA targets Leadership on Peripheral Performance Leadership in Low Power Consumption Extending AVR market reach XMEGA AVR family 44-100 pin packages 16K 51K

More information

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: "Embedded Systems - ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: Embedded Systems - , Raj Kamal, Publs.: McGraw-Hill Education Lesson 7: SYSTEM-ON ON-CHIP (SoC( SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY 1 VLSI chip Integration of high-level components Possess gate-level sophistication in circuits above that of the counter,

More information

HyperAccess Access Control System

HyperAccess Access Control System Control System We manufacture, an advanced PC based access control solution that will give you control over who has access to your building. With you can control access on hundreds of doors for up to 10,000

More information

Single 2.5V - 3.6V or 2.7V - 3.6V supply Atmel RapidS serial interface: 66MHz maximum clock frequency. SPI compatible modes 0 and 3

Single 2.5V - 3.6V or 2.7V - 3.6V supply Atmel RapidS serial interface: 66MHz maximum clock frequency. SPI compatible modes 0 and 3 32Mb, 2.5V or 2.7V Atmel ataflash ATASHEET Features Single 2.5V - 3.6V or 2.7V - 3.6V supply Atmel RapidS serial interface: 66MHz maximum clock frequency SPI compatible modes 0 and 3 User configurable

More information

Propagation Channel Emulator ECP_V3

Propagation Channel Emulator ECP_V3 Navigation simulators Propagation Channel Emulator ECP_V3 1 Product Description The ECP (Propagation Channel Emulator V3) synthesizes the principal phenomena of propagation occurring on RF signal links

More information

11. High-Speed Differential Interfaces in Cyclone II Devices

11. High-Speed Differential Interfaces in Cyclone II Devices 11. High-Speed Differential Interfaces in Cyclone II Devices CII51011-2.2 Introduction From high-speed backplane applications to high-end switch boxes, low-voltage differential signaling (LVDS) is the

More information

Wireless Temperature

Wireless Temperature Wireless Temperature connected freedom and Humidity Sensor Using TELRAN Application note TZ1053AN-06 Oct 2011 Abstract Dr. C. Uche This application note describes the complete system design (hardware and

More information

Documentation. M-Bus 130-mbx

Documentation. M-Bus 130-mbx Documentation M-Bus 130-mbx Introduction The mx M-Bus module is part of the mx Smart Slot communications family. With the integrated SmartSlot technology, mx systems ag offers automatic consumer data read-out

More information

Advanced GPS/GLONASS ASIC (AGGA2)

Advanced GPS/GLONASS ASIC (AGGA2) Advanced GPS/GLONASS ASIC (AGGA2) ESTEC - 6/7 March, 2001 Martin Hollreiser Head of Microelectronics Section Tel. +31-71-565-4284 Fax. +31-71-565-4295 Martin.Hollreiser@esa.int Overview History of the

More information

SafeSPI - Serial Peripheral Interface for Automotive Safety

SafeSPI - Serial Peripheral Interface for Automotive Safety Page 1 / 16 SafeSPI - Serial Peripheral Interface for Automotive Safety Technical SafeSPI_specification_v0.15_published.doc 30 July 2015 Page 2 / 16 1 INTRODUCTION 3 1.1 Requirement specification types

More information

LogiCORE IP AXI Performance Monitor v2.00.a

LogiCORE IP AXI Performance Monitor v2.00.a LogiCORE IP AXI Performance Monitor v2.00.a Product Guide Table of Contents IP Facts Chapter 1: Overview Target Technology................................................................. 9 Applications......................................................................

More information

Optimising the resource utilisation in high-speed network intrusion detection systems.

Optimising the resource utilisation in high-speed network intrusion detection systems. Optimising the resource utilisation in high-speed network intrusion detection systems. Gerald Tripp www.kent.ac.uk Network intrusion detection Network intrusion detection systems are provided to detect

More information

Data Acquisition Module with I2C interface «I2C-FLEXEL» User s Guide

Data Acquisition Module with I2C interface «I2C-FLEXEL» User s Guide Data Acquisition Module with I2C interface «I2C-FLEXEL» User s Guide Sensors LCD Real Time Clock/ Calendar DC Motors Buzzer LED dimming Relay control I2C-FLEXEL PS2 Keyboards Servo Motors IR Remote Control

More information

Satellite Telemetry, Tracking and Control Subsystems

Satellite Telemetry, Tracking and Control Subsystems Satellite Telemetry, Tracking and Control Subsystems Col John E. Keesee 1 Overview The telemetry, tracking and control subsystem provides vital communication to and from the spacecraft TT&C is the only

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Interfacing Analog to Digital Data Converters

Interfacing Analog to Digital Data Converters Converters In most of the cases, the PIO 8255 is used for interfacing the analog to digital converters with microprocessor. We have already studied 8255 interfacing with 8086 as an I/O port, in previous

More information

Android Controlled Based Interface

Android Controlled Based Interface Android Controlled Based Interface Objective Fix Foba Build Rofi (Fifth Generation Robot) Develop, Build, and Implement a Dynamic Balanced Biped Robot Table of Contents Objective... 1 Android Controlled

More information

A Methodology and the Tool for Testing SpaceWire Routing Switches Session: SpaceWire test and verification

A Methodology and the Tool for Testing SpaceWire Routing Switches Session: SpaceWire test and verification A Methodology and the Tool for Testing SpaceWire Routing Switches Session: SpaceWire test and verification Elena Suvorova Saint-Petersburg University of Aerospace Instrumentation. 67, B. Morskaya, Saint-

More information

Block 3 Size 0 KB 0 KB 16KB 32KB. Start Address N/A N/A F4000H F0000H. Start Address FA000H F8000H F8000H F8000H. Block 2 Size 8KB 16KB 16KB 16KB

Block 3 Size 0 KB 0 KB 16KB 32KB. Start Address N/A N/A F4000H F0000H. Start Address FA000H F8000H F8000H F8000H. Block 2 Size 8KB 16KB 16KB 16KB APPLICATION NOTE M16C/26 1.0 Abstract The following article describes using a synchronous serial port and the FoUSB (Flash-over-USB ) Programmer application to program the user flash memory of the M16C/26

More information

Computer Architecture

Computer Architecture Computer Architecture Random Access Memory Technologies 2015. április 2. Budapest Gábor Horváth associate professor BUTE Dept. Of Networked Systems and Services ghorvath@hit.bme.hu 2 Storing data Possible

More information

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

Easy H.264 video streaming with Freescale's i.mx27 and Linux

Easy H.264 video streaming with Freescale's i.mx27 and Linux Libre Software Meeting 2009 Easy H.264 video streaming with Freescale's i.mx27 and Linux July 8th 2009 LSM, Nantes: Easy H.264 video streaming with i.mx27 and Linux 1 Presentation plan 1) i.mx27 & H.264

More information

AUTOMATIC NIGHT LAMP WITH MORNING ALARM USING MICROPROCESSOR

AUTOMATIC NIGHT LAMP WITH MORNING ALARM USING MICROPROCESSOR AUTOMATIC NIGHT LAMP WITH MORNING ALARM USING MICROPROCESSOR INTRODUCTION This Project "Automatic Night Lamp with Morning Alarm" was developed using Microprocessor. It is the Heart of the system. The sensors

More information

USB - FPGA MODULE (PRELIMINARY)

USB - FPGA MODULE (PRELIMINARY) DLP-HS-FPGA LEAD-FREE USB - FPGA MODULE (PRELIMINARY) APPLICATIONS: - Rapid Prototyping - Educational Tool - Industrial / Process Control - Data Acquisition / Processing - Embedded Processor FEATURES:

More information

Guru Ghasidas Vishwavidyalaya, Bilaspur (C.G.) Institute of Technology. Electronics & Communication Engineering. B.

Guru Ghasidas Vishwavidyalaya, Bilaspur (C.G.) Institute of Technology. Electronics & Communication Engineering. B. Guru Ghasidas Vishwavidyalaya, Bilaspur (C.G.) Institute of Technology Electronics & Communication Engineering B.Tech III Semester 1. Electronic Devices Laboratory 2. Digital Logic Circuit Laboratory 3.

More information

CAN & LIN Development Tool CLDT1004 HS CAN

CAN & LIN Development Tool CLDT1004 HS CAN HW Features : 1 x High Speed CAN Bus up to 1 Mbit/s 4 x digital Signal Output / Trigger Output easy synchronization between CAN Messages and physical HW Outputs SW Features : Can Bus Analyzing Tool Can

More information

DS12885, DS12885Q, DS12885T. Real Time Clock FEATURES PIN ASSIGNMENT

DS12885, DS12885Q, DS12885T. Real Time Clock FEATURES PIN ASSIGNMENT DS12885, DS12885Q, DS12885T Real Time Clock FEATURES Drop in replacement for IBM AT computer clock/calendar Pin configuration closely matches MC146818B and DS1285 Counts seconds, minutes, hours, days,

More information

SDR Architecture. Introduction. Figure 1.1 SDR Forum High Level Functional Model. Contributed by Lee Pucker, Spectrum Signal Processing

SDR Architecture. Introduction. Figure 1.1 SDR Forum High Level Functional Model. Contributed by Lee Pucker, Spectrum Signal Processing SDR Architecture Contributed by Lee Pucker, Spectrum Signal Processing Introduction Software defined radio (SDR) is an enabling technology, applicable across a wide range of areas within the wireless industry,

More information

Seeking Opportunities for Hardware Acceleration in Big Data Analytics

Seeking Opportunities for Hardware Acceleration in Big Data Analytics Seeking Opportunities for Hardware Acceleration in Big Data Analytics Paul Chow High-Performance Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Toronto Who

More information

MicroMag3 3-Axis Magnetic Sensor Module

MicroMag3 3-Axis Magnetic Sensor Module 1008121 R01 April 2005 MicroMag3 3-Axis Magnetic Sensor Module General Description The MicroMag3 is an integrated 3-axis magnetic field sensing module designed to aid in evaluation and prototyping of PNI

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

System on Chip Platform Based on OpenCores for Telecommunication Applications

System on Chip Platform Based on OpenCores for Telecommunication Applications System on Chip Platform Based on OpenCores for Telecommunication Applications N. Izeboudjen, K. Kaci, S. Titri, L. Sahli, D. Lazib, F. Louiz, M. Bengherabi, *N. Idirene Centre de Développement des Technologies

More information