Case Study: Improving FPGA Design Speed with Floorplanning

Size: px
Start display at page:

Download "Case Study: Improving FPGA Design Speed with Floorplanning"

Transcription

1 Case Study: Improving FPGA Design Speed with Floorplanning - An introduction to Xilinx PlanAhead 10.1 by Consultant Kent Salomonsen (kent.salomonsen@teknologisk.dk) Picture this: the RTL is simulating perfectly, prototype hardware is waiting on the desk, the entire project team is excited waiting to see some on board action, but the FPGA tool suite cant make the RTL meet timing closure. What does the skilled engineer do now? Maybe some more time should be invested in hunting down a better tool setup that will provide the lacking nano or pico seconds, albeit this approach often is a dead end. Or maybe time is better spent tweaking the RTL, knowing that correct functionality, code clarity and design performance is at the stake. At worst the design will never run in the FPGA mounted in the prototype hardware. A third approach would be floorplanning. If the FPGA targeted is a larger sub 90nm type and the RTL design utilizes a significant amount of FPGA resources, chances are good that the old tool suit can be guided to make a failing design meet timing closure. In this article some of the principles applied in floorplanning will be explained, emphasis will be on Xilinx PlanAhead Based on a real world case provided by the Danish company TC Electronic A/S, the article will show that the principles and tooling behind FPGA floorplanning are not all hot air and promises to be fulfilled, but are available and easily adoptable. The activities and techniques described in this article are all made available through ADD-Lab (Accelerated Digital Design Lab) at Danish Technological Institute. Floorplanning with PlanAhead Floorplanning is basically about establishing guide lines for a place and route tool on how to place a design structure inside an FPGA. Motivations for doing floorplanning can be the desire for: a faster running FPGA design, a design meeting timing closure more consistently or a reduction in tool time. During the floorplanning process the designer can lock parts of the RTL structure or physical parts (e.g. RAM, LUTs or flipflops) in certain FPGA fabric locations. Two structural modules in a design should probably be located adjacent to each other if they are closely attached, i.e. if interconnections are many and timing on the interconnections is critical. In order to enable such an approach the synthesized netlist must describe a structural hierarchy, at least for the RTL structures targeted for floorplanning. Other floorplanning attempts focus on I/O interconnections or merging of timing critical parts from one structural module with another structural module. Some floorplans need to be quite elaborate in order to make an FPGA design perform satisfactorily while others can be very rudimentary yet highly effective. The process of establishing a good floorplan is more of a recursive trial and error process loaded with experience and qualified guesses than a well structured linear process. Figure 1 illustrates three RTL modules floorplanned for a Xilinx Virtex-2 FPGA. The blocks pblock_receiver and pblock_channel are populated with the structural modules receiver and Copyright 2008 Danish Technological Institute Page 1 of 8

2 channel, respectively. The structural modules have 53 interconnections (thick orange line), hence they can be considered closely attached and the floorplanning blocks should therefore be located right next to each other. Figure 1 Example floorplanning for a design structure with three modules (purple blocks). Green lines illustrate I/O interconnection, white lines and orange line (bundle) are module interconnection. The delay time reductions achievable by floorplanning are strictly due to reductions in routing delay time. The netlist logics are by no means optimized! Floorplanning only establishes a rational allocation and placement for structural and physical modules, thereby preventing timing critical logics from being scattered over unnecessarily large areas of FPGA fabric. In effect such guidelines, when carefully defined, will enable significantly better performance from the place and route tool 1. Floorplanning for Xilinx FPGAs should be conducted with the Xilinx PlanAhead tool; the Xilinx Floorplanner tool which is bundled with all ISE distributions is not recommendable, in particular because Xilinx ISE 10.1i includes PlanAhead Lite. The Lite version will provide all the functionality addressed in this article. PlanAhead can be used as a project IDE or it can be inserted in the Xilinx tool chain as illustrated in Figure 2. 1 Put in other words: good floorplanning can to some extend make it up for average performing place and route tools. Copyright 2008 Danish Technological Institute Page 2 of 8

3 Figure 2 PlanAhead inserted in the well known ISE design flow. The purple blocks all relate to PlanAhead activities and file outputs. The Wasabi Case The project case applied for evaluation of the PlanAhead flow is provided by TC Electronic A/S, see presentation below. TC Electronic holds an IP known as Wasabi, which is continuously improved and evaluated on a platform hosting a Virtex-4 FPGA (XC4VLX60-10FF672). The Wasabi ISE project has a perpetual problem; it cannot meet timing closure consistently when targeting the above FPGA type, even small updates in logics unrelated to the timing critical paths cause timing closure failures. Extensive attempts on tuning tool parameters have been tried but no robust setting has been found. It is not desirable to change timing critical RTL in this case nor is it desirable to replace the Virtex-4 FPGA with a faster speed grade type. TC Electronic A/S is a leading manufacturer of audio processing equipment for the pro audio business. The TC Electronic portfolio is based on high performance audio processing algorithms executing in DSP s, FPGA s or proprietary ASIC s. The Wasabi ISE project appears to be a good candidate for floorplanning. Three criteria define success for such an attempt: Copyright 2008 Danish Technological Institute Page 3 of 8

4 1. A significant performance improvement on the timing critical paths. In Xilinx authored literature, metrics as 15 % performance gain and two speed-grade advantage have been used. Recognizing that the above probably is based on sunshine scenario conditions and that the Wasabi case will not establish such conditions, a realistic yet ambitious goal would be an improvement of 10 % in the timing critical regions when comparing to the best result achievable by the current Wasabi ISE project. 2. The Wasabi ISE project must turn more consistent in meeting timing closure. Evidence for this is established by a Wasabi variant with a small RTL change unrelated to the timing critical area. The RTL change must make the current Wasabi ISE project fail in meeting timing closure while the new floorplanned Wasabi ISE project maintains timing performance. 3. A floorplan satisfying the above criteria must be fairly simple and highly reusable. As numerous updates of Wasabi RTL are expected, this floorplan cannot address physical parts as they may be removed or renamed in coming Wasabi RTL versions, hence only structural module names can be addressed. Wasabi is a multi clock design utilizing nearly 5000 Virtex-4 slices, two BRAM blocks and two DSP48 blocks. These measures translate to FPGA resource utilization in the vicinity of 20 % when considering the XC4VLX60 type. The Wasabi ISE project applied so far flattens the structural design hierarchy, optimizes for speed and enables about every feature that can improve speed. The timing critical paths in Wasabi are designed for a clock speed of 98.3 MHz. When using the most recent Wasabi RTL, the Wasabi ISE project can meet a clock speed of MHz on the timing critical clock network. The peak operating frequency of MHz as for any of the coming peak operating frequencies is a result from a tool run using the peak frequency as target frequency, it is not deducted from the slack time presented in a tool run targeting the nominal 98.3 MHz. Step 1 First the Wasabi source files are re-synthesized in order to maintain the structural hierarchy. This step is necessary in order to floorplan at a structural level. An obvious downside is an inevitable degradation in time performance, because logic paths traversing more than one module can t be optimized in their entirety. The new Wasabi ISE project now comes out with a performance degradation allowing for a clock speed of only 89.2 MHz. Step 2 An analysis of the timing report was conducted and the paths that violate timing constraints were identified. In PlanAhead the timing report from the above run and the accompanying xdl file (ASCII description of the placed and routed design) are loaded. Paths failing to meet timing closure can now be studied in multiple views such as a device view (FPGA fabric), a design hierarchy view and a schematics view. The views are quite useful for the floorplanning engineer who needs to quickly comprehend the design areas candidating for floorplanning. Figure 3 shows the design hierarchy view for the Wasabi design 2. The boxes highlighted in yellow contain the timing critical paths. 2 Unfortunately PlanAhead fails to read the timing critical paths from the xdl file, while other paths are read nicely. Hence Figure 3 is constructed for illustration purposes only; the modules selected will be highlighted differently when the tool is brought back to order. Copyright 2008 Danish Technological Institute Page 4 of 8

5 Figure 3 Hierarchy view showing the structures containing the timing critical paths. Step 3 A floorplan that makes the new Wasabi ISE project meet timing closure should be defined now. Figure 3 suggests either a floorplan covering only g2_pwm, a floorplan covering g2_datapath and g2_sequencer or an even finer grained floorplan only covering the modules highlighted in Figure 3. Ad hoc experiments have shown that floorplanning for g2_pwm only (see Figure 4) will produce the best timing result. In fact the Wasabi design will meet the application target frequency of 98.3 MHz when applying this floorplan, but only with a very small margin trying to target 99 MHz will fail. A short status on the progress so far says that timing constraints are still met but now with a smaller time margin than initially. It was requested that the floorplanned Wasabi ISE project should meet timing closure with a performance gain of 10 % comparing to the initial Wasabi ISE project, this hasn t been accomplished yet. The PlanAhead Methodology Guide advises that timing critical paths shouldn t span multiple modules, and for better timing performance all outputs should be registered. The critical and failing timing paths in Wasabi happen to span multiple modules because not all outputs are registered! Synthesizing Wasabi with the structural hierarchy maintained only worsens this, because the synthesis tool thereby isn t allowed to perform logical optimization on these paths in their entirety. Fortunately the XST synthesis tool in ISE 9.2i has introduced a new switch -netlist_hierarchy rebuilt that allows a structural hierarchy to be dissolved and then rebuilt after synthesis and logical optimization from the PlanAhead perspective this switch combines the best from the flattened netlist and the hierarchically netlist! Copyright 2008 Danish Technological Institute Page 5 of 8

6 Figure 4 Floorplan applied for the g2_pwm module in Step3. Step 4 The Wasabi RTL code is re-synthesized with -netlist_hierarchy rebuilt applied and processed using the floorplan in Figure 4. The application timing requirements of 98.3 MHz are easily met; actually the new netlist and the floorplan applied can meet a request of MHz. Comparison of this figure with the initial Wasabi ISE project that met MHz, reveals a performance gain of 7.9 %. Obviously this result is not acceptable when considering the initial requirements for success, but the very simple floorplan suggests that the potential for a significant better performance is definitely present, if more time is put into elaboration. Multiple floorplanning iterations have resulted in the floorplan seen in Figure 5. This floorplan includes only g2_datapath, g2_pulse_generator_ch0 and g2_pulse_generator_ch1, the latter two modules are found right below g2_pwm in Figure 3. An implementation with the new floorplan results in a clock speed of MHz on the timing critical clock network. Comparison to the initial Wasabi ISE project now reveals a performance gain of 12.9 %, which is well within the initial requirements. Step 5 Evidence for criterion 2 is established by introducing a change in the RTL unrelated to the timing critical g2_pwm module. A Wasabi top level input pin is driven by a noisy switch and a pull up resistor at board level. In the old implementation a 16 entries delay line is applied for resampling and filtering the input, the delay line is now extended to 48 entries. When running the old Wasabi ISE project with the updated RTL and a target frequency of 98.3 MHz timing closure is missed by 1.6 MHz. Applying the updated RTL to the floorplanned Wasabi project results in MHz on the critical clock network. Hence the new floorplanned Wasabi project is also considered conforming to criterion 2. Copyright 2008 Danish Technological Institute Page 6 of 8

7 Figure 5 The final floorplan as used in step 4 and step 5. This floorplan covers the modules g2_datapath, g2_pulse_generator_ch0 and g2_pulse_generator_ch1. Wasabi Case Summary PlanAhead and two months of learning and investigation turned a shaky Xilinx ISE 9.2i project into a project consistently meeting timing closure with a 19.7 % margin on the timing critical clock network. Three criteria defining success in migrating to the PlanAhead flow were established and later shown met. Table 1 summarizes the builds and the timing results achieved during the Wasabi case. Note that peak frequencies differ between PlanAhead runs and ISE runs, though the netlist and the tool parameters applied are the same. The difference is a side effect from PlanAhead translating the Xilinx XST netlist from NGC file format into EDIF file format before processing. This translation doesn t impose any optimizations, but probably a different starting point for the place and route tool, which causes it to produce a different FPGA layout and hence a different peak operating frequency. RTL Netlist Floorplan Peak Frequency PlanAhead 10.1i Peak Frequency ISE 9.2i Wasabi Flattened MHz MHz Wasabi Hierarchical Figure MHz - Wasabi Hierarchy rebuilt MHz - Wasabi Hierarchy rebuilt Figure MHz MHz Wasabi Hierarchy rebuilt Figure MHz MHz Modified Wasabi Flattened - 99 MHz 97.1 MHz Modified Wasabi Hierarchical Figure MHz MHz Table 1 Builds and timing results from the Wasabi case. Peak frequency scores refer to the timing critical clock network only. Copyright 2008 Danish Technological Institute Page 7 of 8

8 Conclusion Days and weeks are easily spent in making a success out of an FPGA project failing to meet timing closure. Often this stage of a project is the dark horse in the project time schedule. Facing timing closure problems late in a project leaves the project team with the choice of rewriting verified RTL or trying to tune the tool suit parameters. The first choice is obviously not attractive, unless hopelessly long logics delay time prove to be the problem. The second attempt is often the preferred one, at least for a start. However, much time can be spent here in vain, especially if RTL is the true cause of the problem. There is a growing gap between FPGA performance and tool suite performance, especially when considering more complex designs for sub 90nm FPGA types. The best suggestion at the moment for filling out at least parts of this gap is floorplanning. And apparently floorplanning can be that life jacket saving valuable time for the FPGA project team struggling with timing problems. The down side is that some expertise must go along with the floorplanning tool; starting from scratch, it can take weeks to get acquainted with the tool and finding the floorplan that suite the project requirements. PlanAhead was the floorplanning tool applied in this article. Actually PlanAhead is a floorplanning and a design analysis tool, allowing the user to get to know a new design quicker and analyze the consequences of taking different floorplanning approaches. What is more important is, floorplanning made a significant difference to the Wasabi project, or rather if one remember to synthesize with -netlist_hierarchy rebuilt set it can. The case showed that a performance gain of A final remark would be a suggestion for designers using Xilinx products to learn the PlanAhead Lite tool before the next FPGA project dead line. Methods for incorporating PlanAhead (Lite) early in the design process are described in Xilinx literature, thereby enabling the project team to expose and even avoid timing pit falls early in the project. ADD-Lab at the Danish Technological Institute offers the facilities and expertise to prepare FPGA project teams for the next project using PlanAhead Lite or provide the guidance to make a failing project meet timing closure. Copyright 2008 Danish Technological Institute Page 8 of 8

Rapid System Prototyping with FPGAs

Rapid System Prototyping with FPGAs Rapid System Prototyping with FPGAs By R.C. Coferand Benjamin F. Harding AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO Newnes is an imprint of

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical delivers superior quality

More information

Figure 1 FPGA Growth and Usage Trends

Figure 1 FPGA Growth and Usage Trends White Paper Avoiding PCB Design Mistakes in FPGA-Based Systems System design using FPGAs is significantly different from the regular ASIC and processor based system design. In this white paper, we will

More information

SDLC Controller. Documentation. Design File Formats. Verification

SDLC Controller. Documentation. Design File Formats. Verification January 15, 2004 Product Specification 11 Stonewall Court Woodcliff Lake, NJ 07677 USA Phone: +1-201-391-8300 Fax: +1-201-391-8694 E-mail: info@cast-inc.com URL: www.cast-inc.com Features AllianceCORE

More information

High-Level Synthesis for FPGA Designs

High-Level Synthesis for FPGA Designs High-Level Synthesis for FPGA Designs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer consultant Cereslaan 10b 5384 VT Heesch

More information

Lab 1: Introduction to Xilinx ISE Tutorial

Lab 1: Introduction to Xilinx ISE Tutorial Lab 1: Introduction to Xilinx ISE Tutorial This tutorial will introduce the reader to the Xilinx ISE software. Stepby-step instructions will be given to guide the reader through generating a project, creating

More information

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev. 1.4. Key Design Features. Block Diagram. Generic Parameters.

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev. 1.4. Key Design Features. Block Diagram. Generic Parameters. Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core 16-bit signed output samples 32-bit phase accumulator (tuning word) 32-bit phase shift feature Phase resolution of 2π/2

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

White Paper Selecting the Ideal FPGA Vendor for Military Programs

White Paper Selecting the Ideal FPGA Vendor for Military Programs White Paper Introduction As digital processing technologies such as digital signal processors, FPGAs, and CPUs become more complex and powerful, product and feature differentiation among vendors has significantly

More information

PCIe Core Output Products Generation (Generate Example Design)

PCIe Core Output Products Generation (Generate Example Design) Xilinx Answer 53786 7-Series Integrated Block for PCI Express in Vivado Important Note: This downloadable PDF of an Answer Record is provided to enhance its usability and readability. It is important to

More information

TIP-VBY1HS Data Sheet

TIP-VBY1HS Data Sheet Preliminary DATA SHEET Preliminary TIP-VBY1HS Data Sheet V-by-One HS Standard IP for Xilinx FPGA Rev.1.00 Tokyo Electron Device Ltd. Rev1.00 1 Revision History The following table shows the revision history

More information

Modeling Registers and Counters

Modeling Registers and Counters Lab Workbook Introduction When several flip-flops are grouped together, with a common clock, to hold related information the resulting circuit is called a register. Just like flip-flops, registers may

More information

High-Level Synthesis Tools for Xilinx FPGAs

High-Level Synthesis Tools for Xilinx FPGAs TM An Independent Evaluation of: High-Level Synthesis Tools for Xilinx FPGAs By the staff of Berkeley Design Technology, Inc Executive Summary In 2009, Berkeley Design Technology Inc. (BDTI), an independent

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

White Paper FPGA Performance Benchmarking Methodology

White Paper FPGA Performance Benchmarking Methodology White Paper Introduction This paper presents a rigorous methodology for benchmarking the capabilities of an FPGA family. The goal of benchmarking is to compare the results for one FPGA family versus another

More information

RTL Technology and Schematic Viewers

RTL Technology and Schematic Viewers RTL Technology and Schematic Viewers Tutorial [optional] [optional] Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development

More information

FPGA Prototyping Primer

FPGA Prototyping Primer FPGA Prototyping Primer S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com What is FPGA prototyping? FPGA prototyping is the methodology

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

Vivado Design Suite Tutorial

Vivado Design Suite Tutorial Vivado Design Suite Tutorial High-Level Synthesis UG871 (v2012.2) August 20, 2012 Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces White Paper Introduction The DDR3 SDRAM memory architectures support higher bandwidths with bus rates of 600 Mbps to 1.6 Gbps (300 to 800 MHz), 1.5V operation for lower power, and higher densities of 2

More information

ISE In-Depth Tutorial. UG695 (v14.1) April 24, 2012

ISE In-Depth Tutorial. UG695 (v14.1) April 24, 2012 ISE In-Depth Tutorial Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To the maximum extent permitted by

More information

Hardware Implementation of Improved Adaptive NoC Router with Flit Flow History based Load Balancing Selection Strategy

Hardware Implementation of Improved Adaptive NoC Router with Flit Flow History based Load Balancing Selection Strategy Hardware Implementation of Improved Adaptive NoC Rer with Flit Flow History based Load Balancing Selection Strategy Parag Parandkar 1, Sumant Katiyal 2, Geetesh Kwatra 3 1,3 Research Scholar, School of

More information

FPGAs for High-Performance DSP Applications

FPGAs for High-Performance DSP Applications White Paper FPGAs for High-Performance DSP Applications This white paper compares the performance of DSP applications in Altera FPGAs with popular DSP processors as well as competitive FPGA offerings.

More information

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Embedded Processor Hardware Design January 29 th 2015. VIVADO TUTORIAL 1 Table of Contents Requirements... 3 Part 1:

More information

Product Development Flow Including Model- Based Design and System-Level Functional Verification

Product Development Flow Including Model- Based Design and System-Level Functional Verification Product Development Flow Including Model- Based Design and System-Level Functional Verification 2006 The MathWorks, Inc. Ascension Vizinho-Coutry, avizinho@mathworks.fr Agenda Introduction to Model-Based-Design

More information

LogiCORE IP AXI Performance Monitor v2.00.a

LogiCORE IP AXI Performance Monitor v2.00.a LogiCORE IP AXI Performance Monitor v2.00.a Product Guide Table of Contents IP Facts Chapter 1: Overview Target Technology................................................................. 9 Applications......................................................................

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

Technology White Paper Capacity Constrained Smart Grid Design

Technology White Paper Capacity Constrained Smart Grid Design Capacity Constrained Smart Grid Design Smart Devices Smart Networks Smart Planning EDX Wireless Tel: +1-541-345-0019 I Fax: +1-541-345-8145 I info@edx.com I www.edx.com Mark Chapman and Greg Leon EDX Wireless

More information

Introduction to Systems Analysis and Design

Introduction to Systems Analysis and Design Introduction to Systems Analysis and Design What is a System? A system is a set of interrelated components that function together to achieve a common goal. The components of a system are called subsystems.

More information

Design Methodology for Engineering Change Orders (ECOs) in a Flat Physical Standard Cells Based Design Environment

Design Methodology for Engineering Change Orders (ECOs) in a Flat Physical Standard Cells Based Design Environment Diploma Thesis Design Methodology for Engineering Change Orders (ECOs) in a Flat Physical Standard Cells Based Design Environment submitted by Anton Klotz Standard-Cells Based Design Flow (1) Picture of

More information

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule All Programmable Logic Hans-Joachim Gelke Institute of Embedded Systems Institute of Embedded Systems 31 Assistants 10 Professors 7 Technical Employees 2 Secretaries www.ines.zhaw.ch Research: Education:

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

CAD TOOLS FOR VLSI. FLOORPLANNING Page 1 FLOORPLANNING

CAD TOOLS FOR VLSI. FLOORPLANNING Page 1 FLOORPLANNING FLOORPLANNING Page 1 FLOORPLANNING Floorplanning: taking layout information into account at early stages of the design process. BEHAVIORAL D. STRUCTURAL D. Systems Algorithms Processors Register transfers

More information

A New Paradigm for Synchronous State Machine Design in Verilog

A New Paradigm for Synchronous State Machine Design in Verilog A New Paradigm for Synchronous State Machine Design in Verilog Randy Nuss Copyright 1999 Idea Consulting Introduction Synchronous State Machines are one of the most common building blocks in modern digital

More information

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler DAC 2008 Philip Watson Philip Watson Implementation Environment Program Manager ARM Ltd Background - Who Are We? Processor

More information

6-BIT UNIVERSAL UP/DOWN COUNTER

6-BIT UNIVERSAL UP/DOWN COUNTER 6-BIT UNIVERSAL UP/DOWN COUNTER FEATURES DESCRIPTION 550MHz count frequency Extended 100E VEE range of 4.2V to 5.5V Look-ahead-carry input and output Fully synchronous up and down counting Asynchronous

More information

Prototyping ARM Cortex -A Processors using FPGA platforms

Prototyping ARM Cortex -A Processors using FPGA platforms Prototyping ARM Cortex -A Processors using FPGA platforms Brian Sibilsky and Fredrik Brosser April 2016 Page 1 of 17 Contents Introduction... 3 Gating... 4 RAM Implementation... 7 esign Partitioning...

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

Lab 1 Course Guideline and Review

Lab 1 Course Guideline and Review Lab 1 Course Guideline and Review Overview Welcome to ECE 3567 Introduction to Microcontroller Lab. In this lab we are going to experimentally explore various useful peripherals of a modern microcontroller

More information

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division Introduction to Programmable Logic Devices John Coughlan RAL Technology Department Detector & Electronics Division PPD Lectures Programmable Logic is Key Underlying Technology. First-Level and High-Level

More information

AES (Rijndael) IP-Cores

AES (Rijndael) IP-Cores AES (Rijndael) IP-Cores Encryption/Decryption and Key Expansion Page 1 Revision History Date Version Description 24 February 2006 1.0 Initial draft. 15 March 2006 1.1 Block diagrams added. 26 March 2006

More information

Windows Server 2003 migration: Your three-phase action plan to reach the finish line

Windows Server 2003 migration: Your three-phase action plan to reach the finish line WHITE PAPER Windows Server 2003 migration: Your three-phase action plan to reach the finish line Table of contents Executive summary...2 Windows Server 2003 and the big migration question...3 If only migration

More information

HARDWARE ACCELERATION IN FINANCIAL MARKETS. A step change in speed

HARDWARE ACCELERATION IN FINANCIAL MARKETS. A step change in speed HARDWARE ACCELERATION IN FINANCIAL MARKETS A step change in speed NAME OF REPORT SECTION 3 HARDWARE ACCELERATION IN FINANCIAL MARKETS A step change in speed Faster is more profitable in the front office

More information

HDL Simulation Framework

HDL Simulation Framework PPC-System.mhs CoreGen Dateien.xco HDL-Design.vhd /.v SimGen HDL Wrapper Sim-Modelle.vhd /.v Platgen Coregen XST HDL Simulation Framework RAM Map Netzliste Netzliste Netzliste UNISIM NetGen vcom / vlog.bmm.ngc.ngc.ngc

More information

What makes a good process?

What makes a good process? Rob Davis Everyone wants a good process. Our businesses would be more profitable if we had them. But do we know what a good process is? Would we recognized one if we saw it? And how do we ensure we can

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

6. CASE EXAMPLES WITH SIMMEK. 6.1 Raufoss AS. 6.1.1 The plant and how it is operated. 6.1.2 The goals of the simulation - 1 -

6. CASE EXAMPLES WITH SIMMEK. 6.1 Raufoss AS. 6.1.1 The plant and how it is operated. 6.1.2 The goals of the simulation - 1 - - 1-6. CASE EXAMPLES WITH SIMMEK The SIMMEK tool has up to this date been used at a number of different industrial companies. It has also been applied to very different areas like modelling the transportation

More information

AVOID THE HIGH SPEND APPROACH TO REPORTING AND ANALYTICS. for Microsoft Dynamics NAV

AVOID THE HIGH SPEND APPROACH TO REPORTING AND ANALYTICS. for Microsoft Dynamics NAV AVOID THE HIGH SPEND APPROACH TO REPORTING AND ANALYTICS for Microsoft Dynamics NAV Reduce costs. Improve revenue. Mitigate risk. When you select an ERP system like Microsoft Dynamics NAV, you do so for

More information

Hunting Asynchronous CDC Violations in the Wild

Hunting Asynchronous CDC Violations in the Wild Hunting Asynchronous Violations in the Wild Chris Kwok Principal Engineer May 4, 2015 is the #2 Verification Problem Why is a Big Problem: 10 or More Clock Domains are Common Even FPGA Users Are Suffering

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Contents Überblick: Aufbau moderner FPGA Einblick: Eigenschaften

More information

Verification of Triple Modular Redundancy (TMR) Insertion for Reliable and Trusted Systems

Verification of Triple Modular Redundancy (TMR) Insertion for Reliable and Trusted Systems Verification of Triple Modular Redundancy (TMR) Insertion for Reliable and Trusted Systems Melanie Berg 1, Kenneth LaBel 2 1.AS&D in support of NASA/GSFC Melanie.D.Berg@NASA.gov 2. NASA/GSFC Kenneth.A.LaBel@NASA.gov

More information

LMS is a simple but powerful algorithm and can be implemented to take advantage of the Lattice FPGA architecture.

LMS is a simple but powerful algorithm and can be implemented to take advantage of the Lattice FPGA architecture. February 2012 Introduction Reference Design RD1031 Adaptive algorithms have become a mainstay in DSP. They are used in wide ranging applications including wireless channel estimation, radar guidance systems,

More information

A Verilog HDL Test Bench Primer Application Note

A Verilog HDL Test Bench Primer Application Note A Verilog HDL Test Bench Primer Application Note Table of Contents Introduction...1 Overview...1 The Device Under Test (D.U.T.)...1 The Test Bench...1 Instantiations...2 Figure 1- DUT Instantiation...2

More information

Achieving High Performance DDR3 Data Rates

Achieving High Performance DDR3 Data Rates WP383 (v1.2) August 29, 2013 Achieving High Performance DDR3 Data Rates By: Adrian Cosoroaba Programmable devices frequently require an external memory interface to buffer data that exceeds the capacity

More information

Space product assurance

Space product assurance ECSS-Q-ST-60-02C Space product assurance ASIC and FPGA development ECSS Secretariat ESA-ESTEC Requirements & Standards Division Noordwijk, The Netherlands Foreword This Standard is one of the series of

More information

Physicians are fond of saying Treat the problem, not the symptom. The same is true for Information Technology.

Physicians are fond of saying Treat the problem, not the symptom. The same is true for Information Technology. Comprehensive Consulting Solutions, Inc. Business Savvy. IT Smar Troubleshooting Basics: A Practical Approach to Problem Solving t. White Paper Published: September 2005 Physicians are fond of saying Treat

More information

Switch board datasheet EB007-00-1

Switch board datasheet EB007-00-1 Switch board datasheet EB007-00-1 Contents 1. About this document... 2 2. General information... 3 3. Board layout... 4 4. Testing this product... 5 5. Circuit description... 6 Appendix 1 Circuit diagram

More information

A Utility for Leakage Power Recovery within PrimeTime 1 SI

A Utility for Leakage Power Recovery within PrimeTime 1 SI within PrimeTime 1 SI Bruce Zahn LSI Corporation Bruce.Zahn@lsi.com ABSTRACT This paper describes a utility which is run within the PrimeTime SI signoff environment that recovers leakage power and achieves

More information

The 104 Duke_ACC Machine

The 104 Duke_ACC Machine The 104 Duke_ACC Machine The goal of the next two lessons is to design and simulate a simple accumulator-based processor. The specifications for this processor and some of the QuartusII design components

More information

1. Implementation of a testbed for testing Energy Efficiency by server consolidation using Vmware

1. Implementation of a testbed for testing Energy Efficiency by server consolidation using Vmware 1. Implementation of a testbed for testing Energy Efficiency by server consolidation using Vmware Cloud Data centers used by service providers for offering Cloud Computing services are one of the major

More information

IL2225 Physical Design

IL2225 Physical Design IL2225 Physical Design Nasim Farahini farahini@kth.se Outline Physical Implementation Styles ASIC physical design Flow Floor and Power planning Placement Clock Tree Synthesis Routing Timing Analysis Verification

More information

Designing a Schematic and Layout in PCB Artist

Designing a Schematic and Layout in PCB Artist Designing a Schematic and Layout in PCB Artist Application Note Max Cooper March 28 th, 2014 ECE 480 Abstract PCB Artist is a free software package that allows users to design and layout a printed circuit

More information

Issue in Focus: Consolidating Design Software. Extending Value Beyond 3D CAD Consolidation

Issue in Focus: Consolidating Design Software. Extending Value Beyond 3D CAD Consolidation Issue in Focus: Consolidating Design Software Extending Value Beyond 3D CAD Consolidation Tech-Clarity, Inc. 2012 Table of Contents Introducing the Issue... 3 Consolidate Upstream from Detailed Design...

More information

Final Project: Enhanced Music Synthesizer and Display Introduction

Final Project: Enhanced Music Synthesizer and Display Introduction Final Project: Enhanced Music Synthesizer and Display Introduction The final project will provide you with a complete digital systems design experience. It encompasses all of the elements you have encountered

More information

Route Power 10 Connect Powerpin 10.1 Route Special Route 10.2 Net(s): VSS VDD

Route Power 10 Connect Powerpin 10.1 Route Special Route 10.2 Net(s): VSS VDD SOCE Lab (2/2): Clock Tree Synthesis and Routing Lab materials are available at ~cvsd/cur/soce/powerplan.tar.gz Please untar the file in the folder SOCE_Lab before lab 1 Open SOC Encounter 1.1 % source

More information

RF System Design and Analysis Software Enhances RF Architectural Planning

RF System Design and Analysis Software Enhances RF Architectural Planning From April 2010 High Frequency Electronics Copyright 2010 Summit Technical Media, LLC RF System Design and Analysis Software Enhances RF Architectural Planning By Dale D. Henkes Applied Computational Sciences

More information

Integrated Marketing Performance Using Analytic Controls and Simulation (IMPACS SM )

Integrated Marketing Performance Using Analytic Controls and Simulation (IMPACS SM ) WHITEPAPER Integrated Performance Using Analytic Controls and Simulation (IMPACS SM ) MAY 2007 Don Ryan Senior Partner 35 CORPORATE DRIVE, SUITE 100, BURLINGTON, MA 01803 T 781 494 9989 F 781 494 9766

More information

The SA601: The First System-On-Chip for Guitar Effects By Thomas Irrgang, Analog Devices, Inc. & Roger K. Smith, Source Audio LLC

The SA601: The First System-On-Chip for Guitar Effects By Thomas Irrgang, Analog Devices, Inc. & Roger K. Smith, Source Audio LLC The SA601: The First System-On-Chip for Guitar Effects By Thomas Irrgang, Analog Devices, Inc. & Roger K. Smith, Source Audio LLC Introduction The SA601 is a mixed signal device fabricated in 0.18u CMOS.

More information

ChipScope Pro Tutorial

ChipScope Pro Tutorial ChipScope Pro Tutorial Using an IBERT Core with ChipScope Pro Analyzer Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the

More information

Datalogix. Using IBM Netezza data warehouse appliances to drive online sales with offline data. Overview. IBM Software Information Management

Datalogix. Using IBM Netezza data warehouse appliances to drive online sales with offline data. Overview. IBM Software Information Management Datalogix Using IBM Netezza data warehouse appliances to drive online sales with offline data Overview The need Infrastructure could not support the growing online data volumes and analysis required The

More information

Codesign: The World Of Practice

Codesign: The World Of Practice Codesign: The World Of Practice D. Sreenivasa Rao Senior Manager, System Level Integration Group Analog Devices Inc. May 2007 Analog Devices Inc. ADI is focused on high-end signal processing chips and

More information

Agilent Technologies. Generating Custom, Real-World Waveforms Integrating Test Instrumentation into the Design Process Application Note 1360

Agilent Technologies. Generating Custom, Real-World Waveforms Integrating Test Instrumentation into the Design Process Application Note 1360 Agilent Technologies Generating Custom, Real-World Waveforms Integrating Test Instrumentation into the Design Process Application Note 1360 Table of Contents Introduction...............................................................................3

More information

Isolation Design Flow for Xilinx 7 Series FPGAs or Zynq-7000 AP SoCs (ISE Tools)

Isolation Design Flow for Xilinx 7 Series FPGAs or Zynq-7000 AP SoCs (ISE Tools) XAPP1086 (v1.3.1) February 5, 2015 Application Note: 7 Series FPGAs and Zynq-7000 AP SoC Isolation Design Flow for Xilinx 7 Series FPGAs or Zynq-7000 AP SoCs (ISE Tools) Author: Ed Hallett Summary This

More information

AN FPGA FRAMEWORK SUPPORTING SOFTWARE PROGRAMMABLE RECONFIGURATION AND RAPID DEVELOPMENT OF SDR APPLICATIONS

AN FPGA FRAMEWORK SUPPORTING SOFTWARE PROGRAMMABLE RECONFIGURATION AND RAPID DEVELOPMENT OF SDR APPLICATIONS AN FPGA FRAMEWORK SUPPORTING SOFTWARE PROGRAMMABLE RECONFIGURATION AND RAPID DEVELOPMENT OF SDR APPLICATIONS David Rupe (BittWare, Concord, NH, USA; drupe@bittware.com) ABSTRACT The role of FPGAs in Software

More information

EXPERIMENT 8. Flip-Flops and Sequential Circuits

EXPERIMENT 8. Flip-Flops and Sequential Circuits EXPERIMENT 8. Flip-Flops and Sequential Circuits I. Introduction I.a. Objectives The objective of this experiment is to become familiar with the basic operational principles of flip-flops and counters.

More information

NX electrical and mechanical routing Accelerating design of electrical and mechanical routed systems in complex assemblies

NX electrical and mechanical routing Accelerating design of electrical and mechanical routed systems in complex assemblies electrical and mechanical routing Accelerating design of electrical and mechanical routed systems in complex assemblies fact sheet Siemens PLM Software www.siemens.com/plm Summary digital product development

More information

Performance Comparison of RTOS

Performance Comparison of RTOS Performance Comparison of RTOS Shahmil Merchant, Kalpen Dedhia Dept Of Computer Science. Columbia University Abstract: Embedded systems are becoming an integral part of commercial products today. Mobile

More information

Modeling a GPS Receiver Using SystemC

Modeling a GPS Receiver Using SystemC Modeling a GPS Receiver using SystemC Modeling a GPS Receiver Using SystemC Bernhard Niemann Reiner Büttner Martin Speitel http://www.iis.fhg.de http://www.iis.fhg.de/kursbuch/kurse/systemc.html The e

More information

Real-Time Systems Prof. Dr. Rajib Mall Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Real-Time Systems Prof. Dr. Rajib Mall Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Real-Time Systems Prof. Dr. Rajib Mall Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 26 Real - Time POSIX. (Contd.) Ok Good morning, so let us get

More information

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes of stuck-at

More information

pm4dev, 2016 management for development series Project Scope Management PROJECT MANAGEMENT FOR DEVELOPMENT ORGANIZATIONS

pm4dev, 2016 management for development series Project Scope Management PROJECT MANAGEMENT FOR DEVELOPMENT ORGANIZATIONS pm4dev, 2016 management for development series Project Scope Management PROJECT MANAGEMENT FOR DEVELOPMENT ORGANIZATIONS PROJECT MANAGEMENT FOR DEVELOPMENT ORGANIZATIONS A methodology to manage development

More information

LAB4: Audio Synthesizer

LAB4: Audio Synthesizer UC Berkeley, EECS 100 Lab LAB4: Audio Synthesizer B. Boser NAME 1: NAME 2: The 555 Timer IC SID: SID: Inductors and capacitors add a host of new circuit possibilities that exploit the memory realized by

More information

System-on-Chip Design with Virtual Components

System-on-Chip Design with Virtual Components FEATURE ARTICLE Thomas Anderson System-on-Chip Design with Virtual Components Here in the Recycling Age, designing for reuse may sound like a great idea. But with increasing requirements and chip sizes,

More information

IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits

IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits SUMMARY CONTENTS 1. CONTEXT 2. TECHNOLOGY TRENDS 3. MOTIVATION 4. WHAT IS IC-EMC 5. SUPPORTED STANDARD 6. EXAMPLES CONTEXT - WHY

More information

Introduction. Jim Duckworth ECE Department, WPI. VHDL Short Course - Module 1

Introduction. Jim Duckworth ECE Department, WPI. VHDL Short Course - Module 1 VHDL Short Course Module 1 Introduction Jim Duckworth ECE Department, WPI Jim Duckworth, WPI 1 Topics Background to VHDL Introduction to language Programmable Logic Devices CPLDs and FPGAs FPGA architecture

More information

Chemuturi Consultants Do it well or not at all Productivity for Software Estimators Murali Chemuturi

Chemuturi Consultants Do it well or not at all Productivity for Software Estimators Murali Chemuturi Productivity for Software Estimators Murali Chemuturi 1 Introduction Software estimation, namely, software size, effort, cost and schedule (duration) are often causing animated discussions among the fraternity

More information

Digital IC Design Flow

Digital IC Design Flow Collège Militaire Royal du Canada (Cadence University Alliance Program Member) Department of Electrical and Computer Engineering Départment de Génie Electrique et Informatique RMC Microelectronics Lab

More information

Implement Business Process Management to realize Cost Savings and High Return on Investments

Implement Business Process Management to realize Cost Savings and High Return on Investments Implement Business Process Management to realize Cost Savings and High Return on Investments Business Process Management (BPM) was unheard of just a few years ago, but it has burst onto the global scene

More information

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing Design Verification and Test of Digital VLSI Circuits NPTEL Video Course Module-VII Lecture-I Introduction to Digital VLSI Testing VLSI Design, Verification and Test Flow Customer's Requirements Specifications

More information

Middleware- Driven Mobile Applications

Middleware- Driven Mobile Applications Middleware- Driven Mobile Applications A motwin White Paper When Launching New Mobile Services, Middleware Offers the Fastest, Most Flexible Development Path for Sophisticated Apps 1 Executive Summary

More information

Intro. Review of. Boeing B737 Gear Lever Set (replica) Manufactured by Opencockpits

Intro. Review of. Boeing B737 Gear Lever Set (replica) Manufactured by Opencockpits Review of Boeing B737 Gear Lever Set (replica) Manufactured by Opencockpits Intro My journey around flightsim hardware has now taken me a step closer to real replica hardware this time I have put my focus

More information