Outline. Hardware Description Language. Programming language HDL. Traditional PL. 1. Overview on hardware description language

Similar documents
9/14/ :38

Verification & Design Techniques Used in a Graduate Level VHDL Course

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

VHDL Test Bench Tutorial

The Designer's Guide to VHDL

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems. Role of the Digital Engineer

Discrete event modeling: VHDL

Testing of Digital System-on- Chip (SoC)

Modeling Latches and Flip-flops

ECE232: Hardware Organization and Design. Part 3: Verilog Tutorial. Basic Verilog

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic

YAML: A Tool for Hardware Design Visualization and Capture

Space product assurance

VHDL-Testbench as Executable Specification

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Life Cycle of a Memory Request. Ring Example: 2 requests for lock 17

Visualization of Verilog Digital Systems Models

Product Development Flow Including Model- Based Design and System-Level Functional Verification

A Platform for Visualizing Digital Circuit Synthesis with VHDL

A Verilog HDL Test Bench Primer Application Note

SDLC Controller. Documentation. Design File Formats. Verification

VHDL GUIDELINES FOR SYNTHESIS

ECE 3401 Lecture 7. Concurrent Statements & Sequential Statements (Process)

Architectures and Platforms

Using Xilinx ISE for VHDL Based Design

Finite State Machine Design and VHDL Coding Techniques

Introduction. Jim Duckworth ECE Department, WPI. VHDL Short Course - Module 1

SystemC Tutorial. John Moondanos. Strategic CAD Labs, INTEL Corp. & GSRC Visiting Fellow, UC Berkeley

if-then else : 2-1 mux mux: process (A, B, Select) begin if (select= 1 ) then Z <= A; else Z <= B; end if; end process;

INTRODUCTION TO DIGITAL SYSTEMS. IMPLEMENTATION: MODULES (ICs) AND NETWORKS IMPLEMENTATION OF ALGORITHMS IN HARDWARE

COCOVILA Compiler-Compiler for Visual Languages

Printed Circuit Board Design with HDL Designer

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

Quartus II Introduction for VHDL Users

EXPERIMENT 8. Flip-Flops and Sequential Circuits

Modeling Registers and Counters

Hardware and Software

Introduction to Digital System Design

Microelectronic System-on-Chip Modeling using Objects and their Relationships

Introduction to Functional Verification. Niels Burkhardt

Digital IC Design Flow

Digital Systems. Syllabus 8/18/2010 1

Multiplexers Two Types + Verilog

The System Designer's Guide to VHDL-AMS

AES1. Ultra-Compact Advanced Encryption Standard Core. General Description. Base Core Features. Symbol. Applications

Efficient Teaching of Digital Design with Automated Assessment and Feedback

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Using ModelSim, Matlab/Simulink and NS for Simulation of Distributed Systems

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Lab 1: Full Adder 0.0

DIGITAL DESIGN FLOW OPTIONS

In this example the length of the vector is determined by D length and used for the index variable.

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

A First Course in Digital Design Using VHDL and Programmable Logic

Lab 1: Introduction to Xilinx ISE Tutorial

Implementation Details

Digital Electronics Detailed Outline

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems.

DO-254 Requirements Traceability

Eastern Washington University Department of Computer Science. Questionnaire for Prospective Masters in Computer Science Students

Codesign: The World Of Practice

ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT

Low Cost System on Chip Design for Audio Processing

PREFACE WHY THIS BOOK IS IMPORTANT

Digital Circuit Design Using Xilinx ISE Tools

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

High-Level Synthesis for FPGA Designs

7a. System-on-chip design and prototyping platforms

SoC Curricula at Tallinn Technical University

KEEP IT SYNPLE STUPID

The Advanced JTAG Bridge. Nathan Yawn 05/12/09

Altera Error Message Register Unloader IP Core User Guide

Rapid System Prototyping with FPGAs

Understanding DO-254 Compliance for the Verification of Airborne Digital Hardware

Asynchronous & Synchronous Reset Design Techniques - Part Deux

Systems on Chip Design

Serial port interface for microcontroller embedded into integrated power meter

Implementation and Design of AES S-Box on FPGA

International Workshop on Field Programmable Logic and Applications, FPL '99

Active Learning in the Introduction to Digital Logic Design Laboratory Course

Digital Design and Synthesis INTRODUCTION

Getting off the ground when creating an RVM test-bench

Computer Programming I & II*

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev Key Design Features. Block Diagram. Generic Parameters.

ModelSim-Altera Software Simulation User Guide

TABLE OF CONTENTS. xiii List of Tables. xviii List of Design-for-Test Rules. xix Preface to the First Edition. xxi Preface to the Second Edition

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit

State Machines in VHDL

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER

FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL

Chapter 13: Verification

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

System-on-Chip Design with Virtual Components

Quartus II Introduction Using VHDL Design

Xilinx ISE. <Release Version: 10.1i> Tutorial. Department of Electrical and Computer Engineering State University of New York New Paltz

Understanding Verilog Blocking and Non-blocking Assignments

Modeling a GPS Receiver Using SystemC

Transcription:

Outline Hardware Description Language 1. Overview on hardware description language 2. Basic VHDL Concept via an example 3. VHDL in development flow Chapter 2 1 Chapter 2 2 Programming language 1. Overview on hardware description language Can we use C or Java as HDL? A computer programming language Semantics ( meaning ) Syntax ( grammar ) Develop of a language Study the characteristics of the underlying processes Develop syntactic constructs and their associated semantics to model and express these characteristics. Chapter 2 3 Chapter 2 4 Traditional PL HDL Modeled after a sequential process Operations performed in a sequential order Help human's thinking process to develop an algorithm step by step Resemble the operation of a basic computer model Characteristics of digital hardware Connections of parts Concurrent operations Concept of propagation delay and timing Characteristics cannot be captured by traditional PLs Require new languages: HDL Chapter 2 5 Chapter 2 6 1

Use of an HDL program Formal documentation Input to a simulator Input to a synthesizer Modern HDL Capture characteristics of a digital circuit: entity connectivity concurrency timing Cover description in Gate level and RT level In structural view and behavioral view Chapter 2 7 Chapter 2 8 Highlights of modern HDL: Encapsulate the concepts of entity, connectivity, concurrency, and timing Incorporate propagation delay and timing information Consist of constructs for structural implementation Incorporate constructs for behavioral description (sequential execution of traditional PL) Describe the operations and structures in gate level and RT level. Consist of constructs to support hierarchical design process Two HDLs used today VHDL and Verilog Syntax and ``appearance'' of the two languages are very different Capabilities and scopes are quite similar Both are industrial standards and are supported by most software tools Chapter 2 9 Chapter 2 10 VHDL VHDL: VHSIC (Very High Speed Integrated Circuit) HDL Initially sponsored by DoD as a hardware documentation standard in early 80s Transferred to IEEE and ratified it as IEEE standard 1176 in 1987 (known as VHDL-87) Major modification in 93 (known as VHDL-93) Revised continuously IEEE Extensions IEEE standard 1076.1 Analog and Mixed Signal Extensions (VHDL-AMS) IEEE standard 1076.2 VHDL Mathematical Packages IEEE standard 1076.3 Synthesis Packages IEEE standard 1076.4 VHDL Initiative Towards ASIC Libraries (VITAL) IEEE standard 1076.6 VHDL Register Transfer Level (RTL) Synthesis IEEE standard 1164 Multivalue Logic System for VHDL Model Interoperability IEEE standard 1029 VHDL Waveform and Vector Exchange to Support Design and Test Verification (WAVES) Chapter 2 11 Chapter 2 12 2

Even parity detection circuit 2. Basic VHDL Concept via an example Input: a(2), a(1), a(0) output: even Chapter 2 13 Chapter 2 14 VHDL Listing 2.1 Entity declaration i/o ports ( outline of the circuit) Architecture body Signal declaration Each concurrent statement Can be thought s a circuit part Contains timing information Arch body can be thought as a collection of parts What s the difference between this and a C program Chapter 2 15 Chapter 2 16 Conceptual interpretation VHDL Listing 2.2 Same entity declaration Implicit δ-delay (delta delay) Chapter 2 17 Chapter 2 18 3

Structural description In structural view, a circuit is constructed by smaller parts. Structural description specifies the types of parts and connections. Essentially a textual description of a schematic Done by using component in VHDL First declared (make known) Then instantiated (used) Example Even detector using previously designed components (xor2 and not1) Chapter 2 19 Chapter 2 20 VHDL Listing 2.3 Somewhere in library Chapter 2 21 Chapter 2 22 Behavioral description No formal definition on behavioral in VHDL VHDL process : a language construct to encapsulate sequential semantics The entire process is a concurrent statement Syntax: Listing 2.5 Chapter 2 23 Chapter 2 24 4

Conceptual interpretation Listing 2.6 Chapter 2 25 Chapter 2 26 Testbench a virtual experiment table Circuit to be tested Input stimuli (e.g., function generator) Output monitor (e.g., logic analyzer) e.g., VHDL Listing 2.7 Chapter 2 27 Chapter 2 28 Chapter 2 29 Chapter 2 30 5

Configuration Multiple architecture bodies can be associated with an entity declaration Like IC chips and sockets VHDL configuration specifies the binding E.g., 3. VHDL in development flow Chapter 2 31 Chapter 2 32 Scope of VHDL Coding for synthesis Execution of VHDL codes Simulation: Design realized in a virtual environment (simulation software) All language constructs can be realized realized by a single CPU Chapter 2 33 Chapter 2 34 Synthesis Design realized by hardware components Many VHDL constructs can be synthesized (e,g, file operation, floating-point data type, division) Only small subset can be used E.g., 10 additions Syntactically correct code Synthesizable code Synthesizable code Efficient code Synthesis software only performs transformation and local search The course focuses on hardware, not VHDL (i.e., the H, not L of HDL) Emphasis on coding for synthesis: Code accurately describing the underlying hardware structure Code providing adequate info to guide synthesis software to generate efficient implementation Chapter 2 35 Chapter 2 36 6