Digital Systems. Role of the Digital Engineer

Size: px
Start display at page:

Download "Digital Systems. Role of the Digital Engineer"

Transcription

1 Digital Systems Role of the Digital Engineer

2 Digital Design Engineers attempt to clearly define the problem(s) Possibly, break the problem into many smaller problems Engineers then develop a strategy for designing a solution If the solution includes the design of a digital system Engineers proceed through a detailed and systematic approach to devise a system that would solve the problem Digital system design includes the development of a set of Boolean equations that describes the circuit Today engineers use a hardware description language (HDL) such as VHDL or Verilog to program CPLDs, FPGAs, or ASICs

3 Not-too-recent-past Engineers would take the set of Boolean equations and sketch a circuit, using basic logic gates They would draw the circuit by hand, using a template with digital symbols Today we use powerful computer software and hardware, such as computer aided drafting (CAD) systems, integrated development environments (IDEs), or integrated software environments (ISEs), to develop our logic designs and draw our circuits

4 Not-too-recent-past The next step would be to test the design: The engineers (or technicians or technologists) would build the circuit using a wire-wrap or breadboard Once built, the engineer or technician would test the circuit using a detailed set of input test parameters Depending on the number of inputs, this could be an exhaustive set or a representative set and take hours or even days to complete

5 Not-too-recent-past Rarely did a circuit pass the first tests troubleshooting began There are many places in which an error could occur: in the design, in the IC, in the connections, in the timing, does the power supply provide enough current?, etc. When trouble was found in the design, the engineers went back to the drawing board (literally) to begin redesigning the circuit to remove flaws

6 Not-too-recent-past When the circuit passed the tests and was declared complete and operational, the printed circuit board (PCB) would have to be designed Again, this process was performed by hand, a very long and tedious process Finally, the integrated circuits (IC) were placed on the PCB and soldered into place The finished product had to be tested once more before it was shipped to remove any accidental short circuits, open circuits, and faulty ICs.

7 Not-too-recent-past You may be thinking: All this is ancient history, all this happened before I was born! This may be true for many of you, but not all As late as the mid 1980 s, these practices and procedures were still in place, but things were beginning to change

8 Change Begins In 1970 a group of electrical engineering professors and graduate students at Berkley, led by Don Pederson and Ron Rohrer, began working on a program called CANCER (Computer Analysis of Non-Linear Circuits Excluding Radiation) 1,2,3 eventually evolved into a program called SPICE (Simulation Program with Integrated Circuit Emphasis). By the 1980s the first commercial version of SPICE was released by MicroSim for the PC: Called PSpice (PSpice is now owned by Cadence Design Systems).

9 Big Changes With SPICE, PSpice, and the advent of PCs into the home, office, and universities, analog and digital circuit design was changed forever Now circuits could be simulated, debugged, and perfected before construction began Saved a lot of time and effort Today circuit simulation is standard practice in circuit design

10 PSpice Almost every semiconductor company in the world, as well as a majority of electronic system companies, uses a version of SPICE, or one of its derivatives, for the design of their products. The design of virtually every integrated circuit relies on the simulation of at least some of its constituent parts at the device level, and most of these simulations have been, and are being, carried out using SPICE-related programs. Bruce A. Wooley, (2004)

11 HDLs 1980 s: Hardware Description Languages (HDLs): VHDL and Verilog development The development of VHDL, [V (Very High Speed Integrated Circuit) Hardware Description Language], was initiated in 1981 by the United States Department of Defense (DoD) to address the hardware life cycle crisis Because technology was advancing very rapidly at that time, designs were not documented properly, and there was a wide range of incompatible simulation tools DoD decided that a standard had to be created: thus, VHDL Gateway Design Automation was also developing Verilog around the same time that VHDL was being developed.

12 HDLs HDLs are a programming language used to describe the behavior and structure of electronic systems Particularly suited as a language to describe the structure and behavior of digital systems The designes are then used to develop ASICs (Application Specific ICs) Conventional digital circuits FPGAs (Field Programmable Gate Arrays) CPLD (Complex Programmable Logic Devices)

13 FPGA Group of 8 logic cells Memory block Interconnection wires

14 HDLs Software exists that allows you to: Describe a system using either VHDL or Verilog Simulate it Synthesize it Download it to a development board We will be using such a system in this class: Xilinx ISE (Integrated Software Environment), version 7.1 along with the Spartan 3 development board The Xilinx software not only allows you to describe a circuit using VHDL or Verilog, it will also allow you to draw the circuit so that you can test a circuit even if you do not know an HDL

15 Design Process Digital systems engineer will design circuits using either: software (not necessarily CAD software, but similar) to draw the circuit An HDL to describe it They will then use a development board and some type of Programmable Logic Device (PLD) to test and troubleshoot their design Often use a test-bench program to analyze and test the design Document that there are no errors within the logic. CAD packages are used to develop the PCBs Automated machinery will assemble, solder, and test the resulting board before it is shipped.

16 PCB

17 Design Process Basic Design Loop

18 Troubleshooting Dr. John Wakerly, says: It s next to impossible to be a good designer without being a good troubleshooter. Successful debugging takes planning, a systematic approach, patience, and logic: if you can t discover where a problem is, find out where it is not!

19 Troubleshooting Debugging a design is part of the design process The digital systems engineer must be able to: test their design, account for any problems, correct the problems Finding the problem can be difficult and frustrating work a lot of patience is needed (you also need to know when to take a break and walk away for a short period this should be long before you reach the urge to throw the computer or development board across the room!) A good place to start in any troubleshooting exercise is to determine which parts of the design are failing and which parts are functioning properly.

20 Troubleshooting Before you can troubleshoot, you must understand what the circuit was designed to do Good practice: break the problem down into smaller problems For example, you could look at just the input stage of a circuit and test to see if this section of the design is functioning properly

21 Troubleshooting Be systematic: I have seen many technicians assume that because the output of an IC on a PCB is incorrect, that the IC is faulty and must be replaced They replace the IC only to find out the problem is still there. Before an IC is replaced: Disconnect the faulty output from the circuit This step isolates the IC so that you can discover if: the IC is truly at fault, if another IC further down the line is the problem, an open or short circuit is to blame

22 Troubleshooting Another useful tip: Look at the complete schematic when troubleshooting, Determine which outputs are failing, Then trace this signal back through the system to the inputs. Go to the signal s halfway point between the input and faulty output Measure the signal to determine if the signal is good at this point effectively cuts the job in half If the signal is correct at this point, then the problem lies somewhere between the middle and the end of the circuit If the signal is faulty (assuming you have properly isolated this signal), then the problem lies between the middle and the beginning of the circuit Repeat as necessary

23 Troubleshooting You may also have more than one problem! This can really complicate troubleshooting especially if you have assumed that there was only one fault in the circuit.

24 Break the problem into smaller problems! In designing, the engineer (or engineering student) should not try to develop the complete system in one sitting design small sections of the circuit and test them before moving on to the next section When you are sure that a particular section (or you can think of it as a block in a functional diagram) is operating as designed, proceed to the next section The same approach can be used when developing software or circuit descriptions written in an HDL. Break the problem into smaller problems! Then, test, troubleshoot, and correct the problems before moving on to the next section.

25 At which level will you design?

26 Professionalism I believe (sometimes) that engineering students think that documentation is a four-letter word Documentation is important for several reasons: Companies require it! You must document every characteristic and operating parameter of a digital system design You may be required to pass your part of a design over to another engineer (possibly to software engineers) also to other departments and eventually to customers You may be required to enhance or update a design at a later date Without complete and thorough documentation, you would have to go through all those painstaking hours of development again, re-inventing the wheel, and costing time and money to the company, and quite possibly costing you a job.

27 Professionalism When you complete your studies and land your first career-position you will be a professional You may have the title: engineer, technologist, programmer, designer Whatever the title of the position, you will be a professional.

28 Professionalism This will require you to communicate with others: other engineers, your managers, customers You must communicate in writing and verbally in a technical and professional manner One way in which people can improve their writing and speaking abilities is to have opportunities to practice, obtain critical feedback, and then try again. You will have several opportunities in this class to write and to make presentations

29 Summary The goal of this course: Students should be able to design, test, troubleshoot, and implement combinational and sequential digital circuits in a professional manner and by using medium scale integration (MSI) ICs and programmable logic devices To meet this goal in the timeframe permitted you must have the prerequisites for this course You can meet the goals of this class if you have the prerequisite knowledge and are committed to learning and applying the information discussed in this class

Digital Systems. Syllabus 8/18/2010 1

Digital Systems. Syllabus 8/18/2010 1 Digital Systems Syllabus 1 Course Description: This course covers the design and implementation of digital systems. Topics include: combinational and sequential digital circuits, minimization methods,

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

Technical Aspects of Creating and Assessing a Learning Environment in Digital Electronics for High School Students

Technical Aspects of Creating and Assessing a Learning Environment in Digital Electronics for High School Students Session: 2220 Technical Aspects of Creating and Assessing a Learning Environment in Digital Electronics for High School Students Adam S. El-Mansouri, Herbert L. Hess, Kevin M. Buck, Timothy Ewers Microelectronics

More information

University of St. Thomas ENGR 230 ---- Digital Design 4 Credit Course Monday, Wednesday, Friday from 1:35 p.m. to 2:40 p.m. Lecture: Room OWS LL54

University of St. Thomas ENGR 230 ---- Digital Design 4 Credit Course Monday, Wednesday, Friday from 1:35 p.m. to 2:40 p.m. Lecture: Room OWS LL54 Fall 2005 Instructor Texts University of St. Thomas ENGR 230 ---- Digital Design 4 Credit Course Monday, Wednesday, Friday from 1:35 p.m. to 2:40 p.m. Lecture: Room OWS LL54 Lab: Section 1: OSS LL14 Tuesday

More information

Hardware and Software

Hardware and Software Hardware and Software 1 Hardware and Software: A complete design Hardware and software support each other Sometimes it is necessary to shift functions from software to hardware or the other way around

More information

KEEP IT SYNPLE STUPID

KEEP IT SYNPLE STUPID Utilizing Programmable Logic for Analyzing Hardware Targets Dmitry Nedospasov SHORT DESCRIPTION Hardware security analysis differs from software security analysis primarily in the tools

More information

T146 Electro Mechanical Engineering Technician MTCU Code 51021 Program Learning Outcomes

T146 Electro Mechanical Engineering Technician MTCU Code 51021 Program Learning Outcomes T146 Electro Mechanical Engineering Technician MTCU Code 51021 Program Learning Outcomes Synopsis of the Vocational Learning Outcomes* The graduate has reliably demonstrated the ability to: 1. fabricate

More information

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition A Tutorial Approach James O. Hamblen Georgia Institute of Technology Michael D. Furman Georgia Institute of Technology KLUWER ACADEMIC PUBLISHERS Boston

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

Digital Electronics Detailed Outline

Digital Electronics Detailed Outline Digital Electronics Detailed Outline Unit 1: Fundamentals of Analog and Digital Electronics (32 Total Days) Lesson 1.1: Foundations and the Board Game Counter (9 days) 1. Safety is an important concept

More information

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division Introduction to Programmable Logic Devices John Coughlan RAL Technology Department Detector & Electronics Division PPD Lectures Programmable Logic is Key Underlying Technology. First-Level and High-Level

More information

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic Aims and Objectives E 3.05 Digital System Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk How to go

More information

Xilinx ISE. <Release Version: 10.1i> Tutorial. Department of Electrical and Computer Engineering State University of New York New Paltz

Xilinx ISE. <Release Version: 10.1i> Tutorial. Department of Electrical and Computer Engineering State University of New York New Paltz Xilinx ISE Tutorial Department of Electrical and Computer Engineering State University of New York New Paltz Fall 2010 Baback Izadi Starting the ISE Software Start ISE from the

More information

Efficient Teaching of Digital Design with Automated Assessment and Feedback

Efficient Teaching of Digital Design with Automated Assessment and Feedback Efficient Teaching of Digital Design with Automated Assessment and Feedback 1 Paul W. Nutter, Member, IEEE, 2 Vasilis F. Pavlidis, Member, IEEE, and 2 Jeffrey Pepper 1 Nano Engineering and Storage Technology

More information

White Paper FPGA Performance Benchmarking Methodology

White Paper FPGA Performance Benchmarking Methodology White Paper Introduction This paper presents a rigorous methodology for benchmarking the capabilities of an FPGA family. The goal of benchmarking is to compare the results for one FPGA family versus another

More information

No serious hazards are involved in this laboratory experiment, but be careful to connect the components with the proper polarity to avoid damage.

No serious hazards are involved in this laboratory experiment, but be careful to connect the components with the proper polarity to avoid damage. HARDWARE LAB 5/DESIGN PROJECT Finite State Machine Design of a Vending Machine Using Xilinx ISE Project Navigator and Spartan 3E FPGA Development Board with VHDL Acknowledgements: Developed by Bassam Matar,

More information

Information Technology Hardware Technician

Information Technology Hardware Technician Apprenticeship Training Schedule Schedule of off the job courses Information Technology Hardware Technician Trade Code: 634B Course Summary Level 1 - Common Core S0791.0 Information Technology Contact

More information

Test Driven Development of Embedded Systems Using Existing Software Test Infrastructure

Test Driven Development of Embedded Systems Using Existing Software Test Infrastructure Test Driven Development of Embedded Systems Using Existing Software Test Infrastructure Micah Dowty University of Colorado at Boulder micah@navi.cx March 26, 2004 Abstract Traditional software development

More information

SoC Curricula at Tallinn Technical University

SoC Curricula at Tallinn Technical University SoC Curricula at Tallinn Technical University Margus Kruus, Kalle Tammemäe, Peeter Ellervee Tallinn Technical University Phone: +372-6202250, Fax: +372-6202246 kruus@cc.ttu.ee nalle@cc.ttu.ee lrv@cc.ttu.ee

More information

Printed Circuit Board Design with HDL Designer

Printed Circuit Board Design with HDL Designer Printed Circuit Board Design with HDL Designer Tom Winkert Teresa LaFourcade NASNGoddard Space Flight Center 301-286-291 7 NASNGoddard Space Flight Center 301-286-0019 tom.winkert8 nasa.gov teresa. 1.

More information

AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD)

AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD) AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD) Samuel Lakeou, University of the District of Columbia Samuel Lakeou received a BSEE (1974) and a MSEE (1976)

More information

Digital Circuit Design Using Xilinx ISE Tools

Digital Circuit Design Using Xilinx ISE Tools Digital Circuit Design Using Xilinx ISE Tools Contents 1. Introduction... 1 2. Programmable Logic Device: FPGA... 2 3. Creating a New Project... 2 4. Synthesis and Implementation of the Design... 11 5.

More information

Introduction ABOUT THIS CHAPTER CHAPTER

Introduction ABOUT THIS CHAPTER CHAPTER CHAPTER Introduction 1 Charles E. Stroud Auburn University, Auburn, Alabama Laung-Terng (L.-T.) Wang SynTest Technologies, Inc., Sunnyvale, California Yao-Wen Chang National Taiwan University, Taipei,

More information

NIOS II Based Embedded Web Server Development for Networking Applications

NIOS II Based Embedded Web Server Development for Networking Applications NIOS II Based Embedded Web Server Development for Networking Applications 1 Sheetal Bhoyar, 2 Dr. D. V. Padole 1 Research Scholar, G. H. Raisoni College of Engineering, Nagpur, India 2 Professor, G. H.

More information

Digital VLSI Systems Design Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology, Madras.

Digital VLSI Systems Design Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology, Madras. Digital VLSI Systems Design Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 1 Introduction to VLSI Design Hello Everyone. In this lecture, we are

More information

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation Testing & Verification of Digital Circuits ECE/CS 5745/6745 Hardware Verification using Symbolic Computation Instructor: Priyank Kalla (kalla@ece.utah.edu) 3 Credits Mon, Wed, 1:25-2:45pm, WEB L105 Office

More information

ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT

ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT 216 ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT *P.Nirmalkumar, **J.Raja Paul Perinbam, @S.Ravi and #B.Rajan *Research Scholar,

More information

Product Development Flow Including Model- Based Design and System-Level Functional Verification

Product Development Flow Including Model- Based Design and System-Level Functional Verification Product Development Flow Including Model- Based Design and System-Level Functional Verification 2006 The MathWorks, Inc. Ascension Vizinho-Coutry, avizinho@mathworks.fr Agenda Introduction to Model-Based-Design

More information

High-Level Synthesis for FPGA Designs

High-Level Synthesis for FPGA Designs High-Level Synthesis for FPGA Designs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer consultant Cereslaan 10b 5384 VT Heesch

More information

Lab 1: Full Adder 0.0

Lab 1: Full Adder 0.0 Lab 1: Full Adder 0.0 Introduction In this lab you will design a simple digital circuit called a full adder. You will then use logic gates to draw a schematic for the circuit. Finally, you will verify

More information

Laboratory VHDL introduction

Laboratory VHDL introduction Laboratory VHDL introduction Digital Design IE1204 (Note! not included for IE1205) Attention! To access the laboratory experiment you must have: booked a lab time in the reservation system (Daisy). completed

More information

Gates, Circuits, and Boolean Algebra

Gates, Circuits, and Boolean Algebra Gates, Circuits, and Boolean Algebra Computers and Electricity A gate is a device that performs a basic operation on electrical signals Gates are combined into circuits to perform more complicated tasks

More information

BUILD VERSUS BUY. Understanding the Total Cost of Embedded Design. www.ni.com/buildvsbuy

BUILD VERSUS BUY. Understanding the Total Cost of Embedded Design. www.ni.com/buildvsbuy BUILD VERSUS BUY Understanding the Total Cost of Embedded Design Table of Contents I. Introduction II. The Build Approach: Custom Design a. Hardware Design b. Software Design c. Manufacturing d. System

More information

Your End-to-End PCB products design and Manufacturing in the 21 st Century

Your End-to-End PCB products design and Manufacturing in the 21 st Century Your End-to-End PCB products design and Manufacturing in the 21 st Century Who Are We? An engineering and manufacturing company dedicated to the advancement of technology that provides solutions related

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

Electronic Circuit Prototyping Techniques

Electronic Circuit Prototyping Techniques Electronic Circuit Prototyping Techniques Document Revision: 1.01 Date: February, 2007 16301 Blue Ridge Road, Missouri City, Texas 77489 Telephone: 1-713-283-9970 Fax: 1-281-416-2806 E-mail: info@bipom.com

More information

Designing an efficient Programmable Logic Controller using Programmable System On Chip

Designing an efficient Programmable Logic Controller using Programmable System On Chip Designing an efficient Programmable Logic Controller using Programmable System On Chip By Raja Narayanasamy, Product Apps Manager Sr, Cypress Semiconductor Corp. A Programmable Logic Controller (PLC) is

More information

Project Plan. Project Plan. May13-06. Logging DC Wattmeter. Team Member: Advisor : Ailing Mei. Collin Christy. Andrew Kom. Client: Chongli Cai

Project Plan. Project Plan. May13-06. Logging DC Wattmeter. Team Member: Advisor : Ailing Mei. Collin Christy. Andrew Kom. Client: Chongli Cai Project Plan May13-06 Logging DC Wattmeter Team Member: Ailing Mei Andrew Kom Chongli Cai Advisor : Collin Christy Client: Garmin International David Hoffman Qiaoya Cui Table of Contents Need Statement...

More information

A First Course in Digital Design Using VHDL and Programmable Logic

A First Course in Digital Design Using VHDL and Programmable Logic A First Course in Digital Design Using VHDL and Programmable Logic Shawki Areibi Abstract Present industry practice has created a high demand for systems designers with knowledge and experience in using

More information

Engineering Change Order (ECO) Support in Programmable Logic Design

Engineering Change Order (ECO) Support in Programmable Logic Design White Paper Engineering Change Order (ECO) Support in Programmable Logic Design A major benefit of programmable logic is that it accommodates changes to the system specification late in the design cycle.

More information

DIGITAL DESIGN FLOW OPTIONS

DIGITAL DESIGN FLOW OPTIONS DIGITAL DESIGN FLOW OPTIONS A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science in the Graduate School of The Ohio State University By Sagar Vidya Reddy, B.E.

More information

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER OBJECTIVES 1. Learn the basic elements of VHDL that are implemented in Warp. 2. Build a simple application using VHDL and

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

Verification & Design Techniques Used in a Graduate Level VHDL Course

Verification & Design Techniques Used in a Graduate Level VHDL Course Verification & Design Techniques Used in a Graduate Level VHDL Course Prof. Swati Agrawal, BE, MS (SUNY, Buffalo, NY USA) 1 Associate Professor, Department of Electronics & Telecommunication, Bhilai Institute

More information

LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters

LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters LAB OBJECTIVES 1. Introduction to latches and the D type flip-flop 2. Use of actual flip-flops to help you understand sequential

More information

Open Flow Controller and Switch Datasheet

Open Flow Controller and Switch Datasheet Open Flow Controller and Switch Datasheet California State University Chico Alan Braithwaite Spring 2013 Block Diagram Figure 1. High Level Block Diagram The project will consist of a network development

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

On the use of programmable logic in FabLabs

On the use of programmable logic in FabLabs 09.09.2013 Self introduction Electrical Engineer Self employed: Focus: Embedded Systems Motivation for being here: Providing and getting new ideas, make an offer, meeting interesting people Source: http://opensourceecology.org

More information

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source)

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source) FPGA IMPLEMENTATION OF 4D-PARITY BASED DATA CODING TECHNIQUE Vijay Tawar 1, Rajani Gupta 2 1 Student, KNPCST, Hoshangabad Road, Misrod, Bhopal, Pin no.462047 2 Head of Department (EC), KNPCST, Hoshangabad

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

VHDL Test Bench Tutorial

VHDL Test Bench Tutorial University of Pennsylvania Department of Electrical and Systems Engineering ESE171 - Digital Design Laboratory VHDL Test Bench Tutorial Purpose The goal of this tutorial is to demonstrate how to automate

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Chapter 7 Memory and Programmable Logic

Chapter 7 Memory and Programmable Logic NCNU_2013_DD_7_1 Chapter 7 Memory and Programmable Logic 71I 7.1 Introduction ti 7.2 Random Access Memory 7.3 Memory Decoding 7.5 Read Only Memory 7.6 Programmable Logic Array 77P 7.7 Programmable Array

More information

Active Learning in the Introduction to Digital Logic Design Laboratory Course

Active Learning in the Introduction to Digital Logic Design Laboratory Course Active Learning in the Introduction to Digital Logic Design Laboratory Course Jing Pang Department of Electrical and Electronic Engineering, Computer Engineering Program, California State University, Sacramento,

More information

X. Dealing with Signature Differences

X. Dealing with Signature Differences X. Dealing with Signature Differences One of the most often asked questions that Tracker users ask is "when is a different signature considered a fault?". Simply put, "what makes a bad signature?". To

More information

Multiplexers Two Types + Verilog

Multiplexers Two Types + Verilog Multiplexers Two Types + Verilog ENEE 245: Digital Circuits and ystems Laboratory Lab 7 Objectives The objectives of this laboratory are the following: To become familiar with continuous ments and procedural

More information

JTAG Applications. Product Life-Cycle Support. Software Debug. Integration & Test. Figure 1. Product Life Cycle Support

JTAG Applications. Product Life-Cycle Support. Software Debug. Integration & Test. Figure 1. Product Life Cycle Support JTAG Applications While it is obvious that JTAG based testing can be used in the production phase of a product, new developments and applications of the IEEE-1149.1 standard have enabled the use of JTAG

More information

Visual Programming of Logic, Motion, and Robotics

Visual Programming of Logic, Motion, and Robotics ADVANCED Motion Controls October 2014 Visual Programming of Logic, Motion, and Robotics Sándor Barta Overview The art of programming consists of mentally translating a workflow into a sequential programming

More information

INDUSTRIAL AUTOMATION AND ROBOTIC SYSTEMS

INDUSTRIAL AUTOMATION AND ROBOTIC SYSTEMS www.lit.ie Limerick Institute of Technology T. +353 61 293000 Moylish Park F. +353 61 293001 Limerick E. information@lit.ie Ireland Bachelor of Engineering (Level 7) in INDUSTRIAL AUTOMATION AND ROBOTIC

More information

Eingebettete Systeme. 4: Entwurfsmethodik, HW/SW Co-Design. Technische Informatik T T T

Eingebettete Systeme. 4: Entwurfsmethodik, HW/SW Co-Design. Technische Informatik T T T Eingebettete Systeme 4: Entwurfsmethodik, HW/SW Co-Design echnische Informatik System Level Design: ools and Flow Refinement of HW/SW Systems ools for HW/SW Co-Design C-based design of HW/SW Systems echnische

More information

LEVERAGING HARDWARE DESCRIPTION LANUGAGES AND SPIRAL LEARNING IN AN INTRODUCTORY COMPUTER ARCHITECTURE COURSE

LEVERAGING HARDWARE DESCRIPTION LANUGAGES AND SPIRAL LEARNING IN AN INTRODUCTORY COMPUTER ARCHITECTURE COURSE LEVERAGING HARDWARE DESCRIPTION LANUGAGES AND SPIRAL LEARNING IN AN INTRODUCTORY COMPUTER ARCHITECTURE COURSE John H. Robinson and Ganesh R. Baliga Computer Science Department Rowan University, Glassboro,

More information

Basics of Simulation Technology (SPICE), Virtual Instrumentation and Implications on Circuit and System Design

Basics of Simulation Technology (SPICE), Virtual Instrumentation and Implications on Circuit and System Design Basics of Simulation Technology (SPICE), Virtual Instrumentation and Implications on Circuit and System Design Patrick Noonan Business Development Manager National Instruments Electronics Workbench Group

More information

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Simulink targeting ASIC/FGPA. Previously Worked as logic

More information

Introduction to Digital Design Using Digilent FPGA Boards Block Diagram / Verilog Examples

Introduction to Digital Design Using Digilent FPGA Boards Block Diagram / Verilog Examples Introduction to Digital Design Using Digilent FPGA Boards Block Diagram / Verilog Examples Richard E. Haskell Darrin M. Hanna Oakland University, Rochester, Michigan LBE Books Rochester Hills, MI Copyright

More information

Seeking Opportunities for Hardware Acceleration in Big Data Analytics

Seeking Opportunities for Hardware Acceleration in Big Data Analytics Seeking Opportunities for Hardware Acceleration in Big Data Analytics Paul Chow High-Performance Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Toronto Who

More information

FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL

FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL B. Dilip, Y. Alekhya, P. Divya Bharathi Abstract Traffic lights are the signaling devices used to manage traffic on multi-way

More information

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Datasheet -CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Overview -CV is an equivalence checker for full custom designs. It enables efficient comparison of a reference design

More information

IMPLEMENTATION OF FPGA CARD IN CONTENT FILTERING SOLUTIONS FOR SECURING COMPUTER NETWORKS. Received May 2010; accepted July 2010

IMPLEMENTATION OF FPGA CARD IN CONTENT FILTERING SOLUTIONS FOR SECURING COMPUTER NETWORKS. Received May 2010; accepted July 2010 ICIC Express Letters Part B: Applications ICIC International c 2010 ISSN 2185-2766 Volume 1, Number 1, September 2010 pp. 71 76 IMPLEMENTATION OF FPGA CARD IN CONTENT FILTERING SOLUTIONS FOR SECURING COMPUTER

More information

Pre-tested System-on-Chip Design. Accelerates PLD Development

Pre-tested System-on-Chip Design. Accelerates PLD Development Pre-tested System-on-Chip Design Accelerates PLD Development March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 Pre-tested

More information

Module 10. Coding and Testing. Version 2 CSE IIT, Kharagpur

Module 10. Coding and Testing. Version 2 CSE IIT, Kharagpur Module 10 Coding and Testing Lesson 23 Code Review Specific Instructional Objectives At the end of this lesson the student would be able to: Identify the necessity of coding standards. Differentiate between

More information

Integrating Digital Logic Design and Assembly Programming Using FPGAs in the Classroom

Integrating Digital Logic Design and Assembly Programming Using FPGAs in the Classroom Integrating Digital Logic Design and Assembly Programming Using FPGAs in the Classroom William M. Jones and D. Brian Larkins Department of Computer Science and Information Systems Coastal Carolina University

More information

Rapid System Prototyping with FPGAs

Rapid System Prototyping with FPGAs Rapid System Prototyping with FPGAs By R.C. Coferand Benjamin F. Harding AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO Newnes is an imprint of

More information

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit 1 Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENT OF FOR THE DEGREE IN Bachelor of Technology In Electronics and Communication

More information

Understanding DO-254 Compliance for the Verification of Airborne Digital Hardware

Understanding DO-254 Compliance for the Verification of Airborne Digital Hardware White Paper Understanding DO-254 Compliance for the of Airborne Digital Hardware October 2009 Authors Dr. Paul Marriott XtremeEDA Corporation Anthony D. Stone Synopsys, Inc Abstract This whitepaper is

More information

Interfacing Credit Card-sized PCs to Board Level Electronics

Interfacing Credit Card-sized PCs to Board Level Electronics 10th ICALEPCS Int. Conf. on Accelerator & Large Expt. Physics Control Systems. Geneva, 10-14 Oct 2005, PO2.062-4 (2005) Interfacing Credit Card-sized PCs to Board Level Electronics Flavio Fontanelli 1,

More information

PCB Project (*.PrjPcb)

PCB Project (*.PrjPcb) Project Essentials Summary The basis of every design captured in Altium Designer is the project. This application note outlines the different kinds of projects, techniques for working on projects and how

More information

Custom design services

Custom design services Custom design services Your partner for electronic design services and solutions Barco Silex, Barco s center of competence for micro-electronic design, has established a solid reputation in the development

More information

Outline. 1 Denitions. 2 Principles. 4 Implementation and Evaluation. 5 Debugging. 6 References

Outline. 1 Denitions. 2 Principles. 4 Implementation and Evaluation. 5 Debugging. 6 References Outline Computer Science 331 Introduction to Testing of Programs Mike Jacobson Department of Computer Science University of Calgary Lecture #3-4 1 Denitions 2 3 4 Implementation and Evaluation 5 Debugging

More information

A Verilog HDL Test Bench Primer Application Note

A Verilog HDL Test Bench Primer Application Note A Verilog HDL Test Bench Primer Application Note Table of Contents Introduction...1 Overview...1 The Device Under Test (D.U.T.)...1 The Test Bench...1 Instantiations...2 Figure 1- DUT Instantiation...2

More information

PLCs and SCADA Systems

PLCs and SCADA Systems Hands-On Programmable Logic Controllers and Supervisory Control / Data Acquisition Course Description This extensive course covers the essentials of SCADA and PLC systems, which are often used in close

More information

Home Automation System Design Using Verilog Hardware Descriptive Language

Home Automation System Design Using Verilog Hardware Descriptive Language 2nd International Conference on Recent Trs in Computer and Information Engineering (ICRTCIE'2013) Dec. 20-21, 2013 Bali (Indonesia) Home Automation System Design Using Verilog Hardware Descriptive Language

More information

Echtzeittesten mit MathWorks leicht gemacht Simulink Real-Time Tobias Kuschmider Applikationsingenieur

Echtzeittesten mit MathWorks leicht gemacht Simulink Real-Time Tobias Kuschmider Applikationsingenieur Echtzeittesten mit MathWorks leicht gemacht Simulink Real-Time Tobias Kuschmider Applikationsingenieur 2015 The MathWorks, Inc. 1 Model-Based Design Continuous Verification and Validation Requirements

More information

Life Cycle of a Memory Request. Ring Example: 2 requests for lock 17

Life Cycle of a Memory Request. Ring Example: 2 requests for lock 17 Life Cycle of a Memory Request (1) Use AQR or AQW to place address in AQ (2) If A[31]==0, check for hit in DCache Ring (3) Read Hit: place cache word in RQ; Write Hit: replace cache word with WQ RDDest/RDreturn

More information

EE361: Digital Computer Organization Course Syllabus

EE361: Digital Computer Organization Course Syllabus EE361: Digital Computer Organization Course Syllabus Dr. Mohammad H. Awedh Spring 2014 Course Objectives Simply, a computer is a set of components (Processor, Memory and Storage, Input/Output Devices)

More information

3. VERILOG HARDWARE DESCRIPTION LANGUAGE

3. VERILOG HARDWARE DESCRIPTION LANGUAGE 3. VERILOG HARDWARE DESCRIPTION LANGUAGE The previous chapter describes how a designer may manually use ASM charts (to describe behavior) and block diagrams (to describe structure) in top-down hardware

More information

Industrial Education

Industrial Education Industrial Management, Safety Industrial Education Program Description This program is designed to train men and women for management positions in government and industry. The program was developed in

More information

W a d i a D i g i t a l

W a d i a D i g i t a l Wadia Decoding Computer Overview A Definition What is a Decoding Computer? The Wadia Decoding Computer is a small form factor digital-to-analog converter with digital pre-amplifier capabilities. It is

More information

Implementation and Design of AES S-Box on FPGA

Implementation and Design of AES S-Box on FPGA International Journal of Research in Engineering and Science (IJRES) ISSN (Online): 232-9364, ISSN (Print): 232-9356 Volume 3 Issue ǁ Jan. 25 ǁ PP.9-4 Implementation and Design of AES S-Box on FPGA Chandrasekhar

More information

Digital Circuit Design

Digital Circuit Design Test and Diagnosis of of ICs Fault coverage (%) 95 9 85 8 75 7 65 97.92 SSL 4,246 Shawn Blanton Professor Department of ECE Center for Silicon System Implementation CMU Laboratory for Integrated Systems

More information

ELEC2141 DIGITAL CIRCUIT DESIGN

ELEC2141 DIGITAL CIRCUIT DESIGN ELEC2141 DIGITAL CIRCUIT DESIGN Course Outline Semester 1, 2015 Course Staff Course Convener: Tutors: Dr. Aron Michael, Room 305, a.michael@unsw.edu.au Dr. Aron Michael, Room 305, a.michael@unsw.edu.au

More information

Introduction to Xilinx System Generator Part II. Evan Everett and Michael Wu ELEC 433 - Spring 2013

Introduction to Xilinx System Generator Part II. Evan Everett and Michael Wu ELEC 433 - Spring 2013 Introduction to Xilinx System Generator Part II Evan Everett and Michael Wu ELEC 433 - Spring 2013 Outline Introduction to FPGAs and Xilinx System Generator System Generator basics Fixed point data representation

More information

2014 New Jersey Core Curriculum Content Standards - Technology

2014 New Jersey Core Curriculum Content Standards - Technology 2014 New Jersey Core Curriculum Content Standards - Technology Content Area Standard Strand Grade Level bands Technology 8.2 Technology Education, Engineering, Design, and Computational Thinking - Programming:

More information

Extending the Power of FPGAs. Salil Raje, Xilinx

Extending the Power of FPGAs. Salil Raje, Xilinx Extending the Power of FPGAs Salil Raje, Xilinx Extending the Power of FPGAs The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Development Agenda The Evolution of

More information

Figure 1 FPGA Growth and Usage Trends

Figure 1 FPGA Growth and Usage Trends White Paper Avoiding PCB Design Mistakes in FPGA-Based Systems System design using FPGAs is significantly different from the regular ASIC and processor based system design. In this white paper, we will

More information

CONTROL SYSTEMS, ROBOTICS, AND AUTOMATION - Vol. XIX - Automation and Control in Electronic Industries - Popovic D.

CONTROL SYSTEMS, ROBOTICS, AND AUTOMATION - Vol. XIX - Automation and Control in Electronic Industries - Popovic D. AUTOMATION AND CONTROL IN ELECTRONIC INDUSTRIES University of Bremen, Germany Keywords: design automation, computer-aided design, rapid prototyping, semiconductors production, process monitoring and control,

More information

Verification of Triple Modular Redundancy (TMR) Insertion for Reliable and Trusted Systems

Verification of Triple Modular Redundancy (TMR) Insertion for Reliable and Trusted Systems Verification of Triple Modular Redundancy (TMR) Insertion for Reliable and Trusted Systems Melanie Berg 1, Kenneth LaBel 2 1.AS&D in support of NASA/GSFC Melanie.D.Berg@NASA.gov 2. NASA/GSFC Kenneth.A.LaBel@NASA.gov

More information

GIORGI-FERMI vocational school. Knowledge and skills to be acquired by the student/trainee during his training

GIORGI-FERMI vocational school. Knowledge and skills to be acquired by the student/trainee during his training GIORGI-FERMI vocational school Knowledge and skills to be acquired by the student/trainee during his training Electrical course Electrical circuits and networks Programmable Logic Controller PLC (Siemens)

More information

Teaching Systems Integration In An Advanced Microprocessor Applications Course

Teaching Systems Integration In An Advanced Microprocessor Applications Course Teaching Systems Integration In An Advanced Microprocessor Applications Course Phil Fabiano New Jersey Institute of Technology University Heights Newark, New Jersey 07102 Abstract The New Jersey Institute

More information

EE360: Digital Design I Course Syllabus

EE360: Digital Design I Course Syllabus : Course Syllabus Dr. Mohammad H. Awedh Fall 2008 Course Description This course introduces students to the basic concepts of digital systems, including analysis and design. Both combinational and sequential

More information

Boole-WebLab-Deusto: Integration of a Remote Lab in a Tool for Digital Circuits Design

Boole-WebLab-Deusto: Integration of a Remote Lab in a Tool for Digital Circuits Design Boole-WebLab-Deusto: Integration of a Remote Lab in a Tool for Digital Circuits Design Javier García-Zubía (IEEE Senior Member), Ignacio Angulo, Luis Rodríguez-Gil Faculty of Engineering University of

More information