IC Technologies. Programmable technologies ASIC technologies. C. Brandolese

Size: px
Start display at page:

Download "IC Technologies. Programmable technologies ASIC technologies. C. Brandolese"

Transcription

1 IC Technologies Programmable technologies ASIC technologies

2 Programmable technologies Classification Programming Connections Cells

3 Programmable technologies Hardware devices providing Logic components Gates, Flip-flops, Buffers Connection lines Such devices are said to be programmable since the ready-made components can be connected according to the design needs There are several classes of programmable devices PAL, PLA, ROM, GAL CPLD FPGA

4 Programmable technologies Classification ca be made based on: Programming One-Time Programmable, OTP: Fuse, Antifuse Reprogrammable: Reconfigurable: Connections Cells E 2 PROM, SRAM SRAM Global, local, hierarchical, programmable matrix based Simple, complex

5 Programming Programming technologies influence Area Minimum: Fuse/Antifuse Maximum: SRAM Programming time Cost Minimum : SRAM Maximum : Fuse/Antifuse Minimum : SRAM/Flash Maximum : Fuse/Antifuse

6 Fuse (OTP) Lines are initially fully connected Programming Consists in burning (fuse) some of the connections points and leave connected only the necessary ones Is performed by means of a voltage higher than the operating voltage LINE1 LINE1 PROGRAM FUSE PROGRAM FUSE LINE2 LINE2 LINE1 LINE2 LINE1 LINE2

7 Antifuse (OTP) Lines are initially fully disconnected Programming Consists in creating only the necessary connections Is performed by means of a voltage higher than the operating voltage LINE1 LINE2 ANTIFUSE LINE1 LINE2 ANTIFUSE METAL2 METAL2 SiO 2 METAL1 SiO 2 METAL1 SiO 2 SiO 2 LINE1 LINE2 LINE1 LINE2

8 E 2 PROM (Reprogrammable) Lines are initially fully disconnected Programming Consists in depositing a charge on the floating gate so that the transistors maintains a conducting channel FLOATING GATE PROGRAM GATE FLOATING GATE PROGRAM GATE LINE1 SOURCE DRAIN LINE2 LINE1 SOURCE DRAIN LINE2 CHANNEL LINE1 LINE2 LINE1 LINE2

9 SRAM (Reprogrammable) Lines are initially fully disconnected Programming Consists is storing a logical value (0 or 1) in a static RAM cell LINE1 LINE1 R/W R/W 1 SRAM CELL 1 SRAM CELL LINE2 LINE2 LINE1 LINE2 LINE1 LINE2

10 SRAM Cell LINE1 Word Vdd B' GND B

11 FLASH (Reprogrammable) Lines are initially fully disconnected Programming Consists is storing a logical value (0 or 1) in a FLASH cell LINE1 LINE1 R/W R/W 1 FLASH CELL 1 FLASH CELL LINE2 LINE2 LINE1 LINE2 LINE1 LINE2

12 FLASH Cell LINE1 Floaring Gate Word B' B LINE2

13 Connections Connections influence: Area Global connections require more area Delays Local connections very efficient for neighboring cells Global connections very efficient for distant cells Routability Local connections peovide more flexibility and thus a better routability Complexity of routing algorithms increases when the locality of connections increases

14 Global connections Connections spanning a large portion of the die Advantages Distant cells are connected easily Propagation delay easily predictable Propagation delay relatively low for distant cells Simpler architecture of the device Disadvantages Propagation delay high for neighboring cells Each line is shared among several cells Can be drived by a single cell and thus offers limited flexibility

15 Global connections The capacitance is that of the entire line Almost fixed delay constant The capacitance is acceptable for long wirings No active elements The laine resides on a single metal layer (no vias) A A The capacitance is unacceptable for short wirings B B

16 Local connections Connections much shorter than the die size Advantages Very low delays for short connections Neighboring elements are easily connected Each line is shared among few cells High flexibility Disadvantages Propagation delay is hard to predict Propagation delay between distant cells is higher compared to global connections due to vias and active elements More complex architecture of the device

17 Local connections Connection of neighboring cells Capacintance depends on distance and is thus limited No active elements The line lays on two metal layers at most Few or no vias Only the necessary routing resources are used No waste and higher routability A B A B

18 Local connections Connection of distant cells Capacintance depends on distance and is thus high No active elements The line lays on two metal layers at most Several vias are needed to span long distances Parasitic capacitance is higher Several local wiring resources are needed A A

19 Hierarchical connections Combines advantages of local and global schemes Advantages Uses local and fast lines for neighboring cells Uses global and efficient lines for distant cells Good flexibility Disadvantages Global resources are limited due to size constraints Complexity of routing algorithms is higher Propagation delays are harder to predict

20 Hierarchical connections Connection of neighboring cells Capacintance depends on distance and is thus limited No active elements The line lays on two metal layers at most Few or no vias Only the necessary routing resources are used A A

21 Hierarchical connections Connection of distant cells Capacintance does not depend on distance and is thus limited and predictable No active elements The line lays on two metal layers at most Few or no vias No local resources are wasted B A A B

22 Programmable Switch Matrix PSMs have input/output ports connected to the wiring resources of the device Allow connecting an input port to several (possibly all) output ports in a selective and programmable way

23 Programmable Switch Matrix Several connection schemes can be implemented using PSMs The local scheme is the simplest

24 Programmable Switch Matrix A hierarchical scheme offers higher efficiency PSMs are connected through linse of different length 1-length lines connect adjacents PSMs N-lengthlines connects PSMs at a disstance equal to N 1-length lines 2-length lines 4-length lines

25 Simple cells Limited number of I/Os Sequential and combinatorial cells are distinct Complex functions require several cells Easier technology mapping algorithms Complex and less efficient routing Better optimization opportunities LC LC LC LC LC LC LC LC

26 Complex cells High number of I/Os Sequential and combinatorial elements in a cell Complex functions require a sigle (or few) cell Complex technology mapping algorithms Simpler and more efficient routing Less optimization opportunities LC LC

27 Programmable devices Families PAL, PLA, GAL PLD, CPLD FPGA

28 2-level programmable devices Used for functions in SoP/PoS two-levels forms Provide Fized number of I/Os An AND-plane to construct implicants An OR-plane, to sum implicant into functions For electical reasons they also provide Input and output buffers Input Input Buffers AND Plane OR Plane Output Buffers Output

29 2-level programmable devices Three major families Programmable Logic Array (PLA) AND- and OR-planes programmable Only necessary implicants are built Programmable Array Logic (PAL) AND-plane only is programmable Only necessary implicants are built Read-Only Memory (ROM) AND-plane pre-programmed with a decoder All minterms are available

30 Programmable Logic Array a b c I 1 I 2 I 3 I 4 I 5 I 6 AND Plane Input buffers Inverters P 1 P 2 P 3 P 4 Output buffers OR Plane O 1 f 1 O 2 f 2

31 Programmable Array Logic a b c I 1 I 2 I 3 I 4 I 5 I 6 AND Plane Input buffers Inverters P 1 P 2 P 3 P 4 Output buffers OR Plane O 1 f 1 O 2 f 2

32 Read-Only Memory A ROM associates a word (output) to each address (input) Usually several functions are needed: f i = f i (x 1,x 2,...,x n ) i={1,2,...,t} Using a different notation: (x 1,x 2,...,x n ) => (f 1,f 2,...,f t ) This form shows a transformation going from an n-tuple of inputs x i to a t-tuple of outputs f j The address decoder generates all 2 n minterms from the n input variables x i

33 Read-Only Memory Address decoder The variables x i are the inputs Outputs are all the minterms built on the input variables x 1 x 2 x = x 1 x 2 x = x 1 x 2 x = x 1 x 2 x 3

34 Read-Only Memory x 1 x 2 x ROM 111 Address Decoder Output Buffers f 1 f 2 f 3 f 4

35 Programmable Logic Devices An extension of PLA-PAL devices Provides an internal feedback network Provides sequential elements Primary Inputs Feedback Input f i D Q Q Output select Output enable f i Clock Feedback

36 Generic Array Logic Further extension over PAL, PLA, PLD Provides possibly several AND/OR planes Provides complex cells for I/O and feedback routing I/CLK I I OLMC I/O AND-OR Planes OLMC I/O I OLMC I/O

37 Generic Array Logic OLMCs (Output Logic Macro Cells) make the architecture significantly flexible Simple output OLMC O Input OLMC I

38 Generic Array Logic Output with internal feedback OLMC O Output with external feedback OLMC O OLMC I/O

39 Complex PLD Evolution of PLDs and GALs Characterized by Global Connections Lumped logic With respect to PLDs and GALs Are much larger (up to ~1M equivalent gates) Available cells are much more complex Several advantages High density High speed Regular and easily programmable structure

40 Complex PLD Input Interconnect Logic Flip-Flop Ouput

41 Field Programmable Gate Array Field Programmable Gate Arrays (FPGAs) are the most complex and powerful prorammable devices currently available Characterized by Distributed connections Distributed logic With respect to PALs, PLAs, GALs and CPLDs Are much larger (up to ~10-20M equivalent gates) Cells have different complexity Extremely flexible Since few years provide fused components as well Multipliers, memories, microprocessor cores,...

42 Field Programmable Gate Array I/O I/O I/O I/O I/O I/O I/O I/O LC LC LC LC LC I/O I/O LC LC LC LC LC I/O I/O LC LC LC LC LC I/O I/O LC LC LC LC LC I/O I/O I/O I/O I/O I/O I/O I/O

43 Field Programmable Gate Array Structured according to different philosopies Simple cells Better exploitation of the logic resources Higher complexity of the interconnections structure Routability problems Complex cells Complex functions use one or few cells Poorer exploitation of logic resources Simpler interconnections structure Improved routability

44 Programmable devices Commercial devices Altera Actel Xilinx

45 Altera MAX3000A: Device

46 Altera MAX3000A: Macrocell

47 Altera EP312: Device

48 Altera EP312: Macrocell

49 Altera EP910: Device

50 Altera EP910: Macrocell

51 Altera Flex10K: Device

52 Altera Flex10K: EAB

53 Altera Flex10K: LAB

54 Altera Flex10K: LE

55 Altera Apex20K: Device

56 Altera Apex20K: MegaLAB

57 Altera Apex20K: LAB

58 Altera Apex20K: Device

59 Altera Apex20K: Product Term

60 Altera Apex20K: ESB Logic

61 Altera Apex20K: ESB Memory

62 Actel ACT3: Device

63 Actel ACT3: I/O & Clock Modules

64 Actel ACT3: C & S Modules

65 Actel 40MX: Device

66 Actel 40MX: D & I/O Module

67 Actel 40MX: S Modules

68 Actel 40MX: Memory Module

69 Actel ProASIC: Device

70 Actel ProASIC: Cell

71 Actel ProASIC: Local Routing

72 Actel ProASIC: Long Routing

73 Actel ProASIC: Very Long Routing

74 Xilinx XC3000: Connections

75 Xilinx XC3000: Switch Matrix

76 Xilinx XC3000: IOB

77 Xilinx XC3000: CLB

78 Xilinx XC5200: Device

79 Xilinx XC5200: Connections

80 Xilinx XC5200: VersaBlock

81 Xilinx XC5200: LC & IOB

82 Xilinx XC9500: Device

83 Xilinx XC9500: Macrocell

84 Xilinx Spartan: Device

85 Xilinx Spartan: Connections

86 Xilinx Spartan: CLB

87 Xilinx Spartan: IOB

88 Xilinx Virtex-II: Device

89 Xilinx Virtex-II: CLB

90 Xilinx Virtex-II: Slice

91 Xilinx Virtex-II: IOB

92 ASIC Standard Cell Layout Cells Placement & Routing Tecnology

93 Standard Cell Is the most used ASIC technology Cells More flexible than a gate array Simpler that a full custom Huge number (several hundreds) of commonly used cells are available and are precharacterized Layout Masks Regural structure organized into rows Cells have a fixed height A design requires a full set of masks

94 Layout I/O cells Core cells Routing channels

95 Power supply Power supply and ground lines run adjacent to the upper and lower side of cells rows VDD Rail VSS Rail Cells provide axial symmetry that allows packing rows and sharing VDD/VSS rails VDD Rail Common VSS Rail VDD Rail

96 Cell structure Five areas VDD Rail: p-tub: Local wiring: n-tub: VSS Rail: power supply pmos, pull-up I/O pins, local connections nmos, pull-down groung VDD Rail p-tub Local wiring n-tub VSS Rail

97 Cell geometry Fixed height All rows have the same height The two-dimensional layout process is decomposed into several almost one-dimensional placement problems Variable width Dpending on the cells complexity and MOS size Symmetry Cells are often symmetric w.r.t both x and y axes No flip Flip y Flip X Flip xy

98 NAND3 Gate VDD rail p-tub Local wiring Pin n-tub VSS rail

99 Placement Each row is (logically) divided into adjacent sites A cells always occupies an integral number of sites site cell Special cells called fillers create empty spaces into the rows without beaking VDD/VSS rails site cell filler

100 Routing Routing is constrained to predefined areas Local wiring Routing channels Feedthrough over-the-cell routing Routing channel Feedthrough Routing channel Local wiring

101 Routing grid The routing area is logically organized as a grid Horizontal grid (corresponds to one layer) Veritical grid (corresponds to a different layer) The grid defines Positions of the nets Position of the cell pins

102 Routing layer Routing involves several dedicated metal layers Horizontal nets Vertical nets Power supply and ground Clock trees... This constraint Significantly simplifies routing algorithms Allows obtaining satisfactory routing density Requires one via hole at each corner of a wire made of several nets

103 Routing layer Verially aligned pins Unaligned pins 1 layers 0 via Horizontally aligned pins 2 layers 2 vias Unaligned constrained pins 2 layers 2 vias 2 layers 4 vias

104 Clock tree Distributes the clock(s) to flip-flops The distance between flip-flops introduces a skew Clock tree generation has the goals of: Distributing the clock signal to all flip-flops Maintain the skew below a given threshold (few ps) In the simple case is a two-step process Generation of an (sub)optimal geometry of the tree H-tree, Steiner tree Introduction of non-inverting buffers where necessary To add a delay To satisfy the constraints on maximum load and fan-out

105 Clock tree Unbalanced clock tree: maximum skew 20ps skew = 10 skew = 10 FF FF FF delay = 15 delay = 25 delay = 35 Balanced clock tree: maximum skew 0ps (ideal) skew = 20 skew = 0 skew = 0 skew = 0 FF FF FF delay = 35 delay = 35 delay = 35

106 Standard cell libraries A library is composed of tree main files LEF: Library Exchange Format Technology Routing: layer description Cells: size, type, placement, symmetries, pins CTLF: Compiled Timing Library Format Cell types and pins Timing characterization of single cells GCF: General Constraint Format System-level (chip) timing constraints

107 Tecnology: Metal Layer LAYER METAL1 TYPE ROUTING; DIRECTION HORIZONTAL; WIDTH 0.80; SPACING 0.60; SPACING 3.20 RANGE ; PITCH 1.80; OFFSET 0.0; HEIGHT 1.195; THICKNESS 0.480; RESISTANCE RPERSQ ; CAPACITANCE CPERSQDIST ; EDGECAPACITANCE ; END METAL1 METAL1 VIA SQUARE PITCH CLOSEST SEPARATION SPACING WIDTH

108 Tecnology: Sites SITE xlite_core_site SYMMETRY y; CLASS core; SIZE 1.80 BY 7.20; END xlite_core_site CLASS pad CLASS core SYMMETRY Y

109 Tecnology: Cells MACRO AND2X1_TAX0 CLASS core; ORIGIN ; SIZE 3.60 BY 7.20 ; SYMMETRY x y ; SITE xlite_core_site ; PIN A DIRECTION INPUT; PORT LAYER METAL11; RECT ; END END A... PIN B... END B... END AND2X1_TAX0 ORIGIN SIZE (2.70, 0.90) (2.10, 1.50)

110 Place & Route

111 ASIC Gate Array Layout Cells Routing

112 Gate Array Progressively less used technology Cells OTP devices offer competitive alternative Are transistors, transistor pairs or NAND gates Already fused on the partly manufactured die Layout Cells have fixed positions Routing is extremely complex Masks A design requires only the the masks for wiring

113 Layout: Channeled (gate array) I/O cells Logic cells (transistors) Routing channels

114 Layout: Channelless (sea of gates) I/O cells Logic cells (transistors) I/O routing only

115 Layout Channeled Routing is constrained to channels only: easy Limited cell/net density No standard cells can be exploited Channelless Over-the-cell routing: complex High cell/net density Supports standard cells and RAM/ROM arrays Today s trend is to use almos only channelles gate array

116 Cells VDD rail pmos (small) pmos (large) pmos (small) pins verical tracks nmos (small) nmos (large) nmos (small) VSS rail

117 Over-the the-cell routing Vertical Grid Horizontal Grid

118 ASIC Full custom Layout Floorplanning Macrocell

119 Full Custom Used only for very critical applications Cells Extremely complex and costly Offers maximum flexibility and performance No predefined cells The physical design describes the complete geometry Layout Free Placement and routing are extremely complex Masks A design requires a full set of masks

120 Layout I/O cells Macrocells Routing area

121 Floorplanning The floorplan defines the position of macro blocks Goals Area minimization Rotuing simplification (global and detailed phases) Minimization of the average length of critical nets Each macro block Contains complex custom logic Contains dedicated areas for routing Estimated: Logic area is expanded by a factor depending on the connection density Exact: Logic elements are already placed

122 Floorplanning A block is defined by Dimensions: (x,y) x Area: A=xy y A Aspect ratio: r=x/y Can exploit Rotation Multiples of 90 Rotation Reshaping Reshaping Fixed area Constraints on the aspect ratio

123 Macrocell Combines Cells Flexibility and performance of full custom Simplicity of standard cell Standard cells Full custom macrocells Layout Floorplan Standard cell areas are organized into rows Masks A design requires a full set of masks

124 Macrocell I/O cells Macrocells (full custom) Standard cell area Routing channels

125 Conclusioni Tecnologie a confonto

126 Tecnologie a confronto Densità Mgates/cm 2 Frequenza MHz Sviluppo months/mgate Dimensione celle Tipo celle Posizione celle Full custom Variable Variable Variable Standard cell Fixed height Variable Fixed rows Gate array Fixed Fixed Fixed OTP PLD Fixed Programmable Fixed FPGA Fixed Programmable Fixed

127 Tecnologie a confronto 6 y Design time / Complexity (gates) 1 y 2 m 1971: Intel Kgates Full Custom Standard Cell 1 w SSI CPLD FPGA SSI 2000: Intel Pentium 4 42 Mgates 1 d K 10K 100K 1M 10M 100M

128 Intel Kgates Intel Pentium 4 42 Mgates Intel 4004 Intel Pentium 4

129 Further reading 1. Integrated circuit products EBook 2. Basic Integrate Circuit Manufacturing EBook 3. Xilinx Virtex 6 Family Overview Xilinx Inc. 4. Xilinx Virtex 6 CLB Usage Xilinx Inc.

Chapter 7 Memory and Programmable Logic

Chapter 7 Memory and Programmable Logic NCNU_2013_DD_7_1 Chapter 7 Memory and Programmable Logic 71I 7.1 Introduction ti 7.2 Random Access Memory 7.3 Memory Decoding 7.5 Read Only Memory 7.6 Programmable Logic Array 77P 7.7 Programmable Array

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8

GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8 GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8 Robert G. Brown All Rights Reserved August 25, 2000 Alta Engineering 58 Cedar Lane New Hartford, CT 06057-2905 (860) 489-8003 www.alta-engineering.com

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

IL2225 Physical Design

IL2225 Physical Design IL2225 Physical Design Nasim Farahini farahini@kth.se Outline Physical Implementation Styles ASIC physical design Flow Floor and Power planning Placement Clock Tree Synthesis Routing Timing Analysis Verification

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit Modeling Sequential Elements with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 4-1 Sequential Circuit Outputs are functions of inputs and present states of storage elements

More information

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1 Module 2 Embedded Processors and Memory Version 2 EE IIT, Kharagpur 1 Lesson 5 Memory-I Version 2 EE IIT, Kharagpur 2 Instructional Objectives After going through this lesson the student would Pre-Requisite

More information

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i Layout and Cross-section of an inverter Lecture 5 A Layout Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London V DD Q p A V i V o URL: www.ee.ic.ac.uk/pcheung/

More information

Semiconductor Memories

Semiconductor Memories Semiconductor Memories Semiconductor memories array capable of storing large quantities of digital information are essential to all digital systems Maximum realizable data storage capacity of a single

More information

ECE124 Digital Circuits and Systems Page 1

ECE124 Digital Circuits and Systems Page 1 ECE124 Digital Circuits and Systems Page 1 Chip level timing Have discussed some issues related to timing analysis. Talked briefly about longest combinational path for a combinational circuit. Talked briefly

More information

Sequential 4-bit Adder Design Report

Sequential 4-bit Adder Design Report UNIVERSITY OF WATERLOO Faculty of Engineering E&CE 438: Digital Integrated Circuits Sequential 4-bit Adder Design Report Prepared by: Ian Hung (ixxxxxx), 99XXXXXX Annette Lo (axxxxxx), 99XXXXXX Pamela

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

Memory Systems. Static Random Access Memory (SRAM) Cell

Memory Systems. Static Random Access Memory (SRAM) Cell Memory Systems This chapter begins the discussion of memory systems from the implementation of a single bit. The architecture of memory chips is then constructed using arrays of bit implementations coupled

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

CAD TOOLS FOR VLSI. FLOORPLANNING Page 1 FLOORPLANNING

CAD TOOLS FOR VLSI. FLOORPLANNING Page 1 FLOORPLANNING FLOORPLANNING Page 1 FLOORPLANNING Floorplanning: taking layout information into account at early stages of the design process. BEHAVIORAL D. STRUCTURAL D. Systems Algorithms Processors Register transfers

More information

Memory Basics. SRAM/DRAM Basics

Memory Basics. SRAM/DRAM Basics Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

Memory. The memory types currently in common usage are:

Memory. The memory types currently in common usage are: ory ory is the third key component of a microprocessor-based system (besides the CPU and I/O devices). More specifically, the primary storage directly addressed by the CPU is referred to as main memory

More information

Layout of Multiple Cells

Layout of Multiple Cells Layout of Multiple Cells Beyond the primitive tier primitives add instances of primitives add additional transistors if necessary add substrate/well contacts (plugs) add additional polygons where needed

More information

路 論 Chapter 15 System-Level Physical Design

路 論 Chapter 15 System-Level Physical Design Introduction to VLSI Circuits and Systems 路 論 Chapter 15 System-Level Physical Design Dept. of Electronic Engineering National Chin-Yi University of Technology Fall 2007 Outline Clocked Flip-flops CMOS

More information

Chapter 2 Logic Gates and Introduction to Computer Architecture

Chapter 2 Logic Gates and Introduction to Computer Architecture Chapter 2 Logic Gates and Introduction to Computer Architecture 2.1 Introduction The basic components of an Integrated Circuit (IC) is logic gates which made of transistors, in digital system there are

More information

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 ELECTRONICS AND COMMUNICATION ENGINEERING Course Title VLSI DESIGN Course Code 57035 Regulation R09 COURSE DESCRIPTION Course Structure

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

RAM & ROM Based Digital Design. ECE 152A Winter 2012

RAM & ROM Based Digital Design. ECE 152A Winter 2012 RAM & ROM Based Digital Design ECE 152A Winter 212 Reading Assignment Brown and Vranesic 1 Digital System Design 1.1 Building Block Circuits 1.1.3 Static Random Access Memory (SRAM) 1.1.4 SRAM Blocks in

More information

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1 ing Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle 6.884 - Spring 2005 2/18/05

More information

Chapter 9 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 9 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 9 Semiconductor Memories Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 2 Outline Introduction

More information

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division Introduction to Programmable Logic Devices John Coughlan RAL Technology Department Detector & Electronics Division PPD Lectures Programmable Logic is Key Underlying Technology. First-Level and High-Level

More information

Programmable Logic IP Cores in SoC Design: Opportunities and Challenges

Programmable Logic IP Cores in SoC Design: Opportunities and Challenges Programmable Logic IP Cores in SoC Design: Opportunities and Challenges Steven J.E. Wilton and Resve Saleh Department of Electrical and Computer Engineering University of British Columbia Vancouver, B.C.,

More information

REC FPGA Seminar IAP 1998. Seminar Format

REC FPGA Seminar IAP 1998. Seminar Format REC FPGA Seminar IAP 1998 Session 1: Architecture, Economics, and Applications of the FPGA Robotics and Electronics Cooperative FPGA Seminar IAP 1998 1 Seminar Format Four 45 minute open sessions two on

More information

NAND Flash FAQ. Eureka Technology. apn5_87. NAND Flash FAQ

NAND Flash FAQ. Eureka Technology. apn5_87. NAND Flash FAQ What is NAND Flash? What is the major difference between NAND Flash and other Memory? Structural differences between NAND Flash and NOR Flash What does NAND Flash controller do? How to send command to

More information

Chapter 10 Advanced CMOS Circuits

Chapter 10 Advanced CMOS Circuits Transmission Gates Chapter 10 Advanced CMOS Circuits NMOS Transmission Gate The active pull-up inverter circuit leads one to thinking about alternate uses of NMOS devices. Consider the circuit shown in

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Introduction. Jim Duckworth ECE Department, WPI. VHDL Short Course - Module 1

Introduction. Jim Duckworth ECE Department, WPI. VHDL Short Course - Module 1 VHDL Short Course Module 1 Introduction Jim Duckworth ECE Department, WPI Jim Duckworth, WPI 1 Topics Background to VHDL Introduction to language Programmable Logic Devices CPLDs and FPGAs FPGA architecture

More information

An ASCII data format, used to describe a standard cell library

An ASCII data format, used to describe a standard cell library Advanced VLSI Design Standard Cell Library/ CMPE 641 An ASCII data format, used to describe a standard cell library Includes the design rules for routing and the Abstract of the cells, no information about

More information

Read-only memory Implementing logic with ROM Programmable logic devices Implementing logic with PLDs Static hazards

Read-only memory Implementing logic with ROM Programmable logic devices Implementing logic with PLDs Static hazards Points ddressed in this Lecture Lecture 8: ROM Programmable Logic Devices Professor Peter Cheung Department of EEE, Imperial College London Read-only memory Implementing logic with ROM Programmable logic

More information

CHAPTER 16 MEMORY CIRCUITS

CHAPTER 16 MEMORY CIRCUITS CHPTER 6 MEMORY CIRCUITS Chapter Outline 6. atches and Flip-Flops 6. Semiconductor Memories: Types and rchitectures 6.3 Random-ccess Memory RM Cells 6.4 Sense-mplifier and ddress Decoders 6.5 Read-Only

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

CHAPTER 3 Boolean Algebra and Digital Logic

CHAPTER 3 Boolean Algebra and Digital Logic CHAPTER 3 Boolean Algebra and Digital Logic 3.1 Introduction 121 3.2 Boolean Algebra 122 3.2.1 Boolean Expressions 123 3.2.2 Boolean Identities 124 3.2.3 Simplification of Boolean Expressions 126 3.2.4

More information

Low Power AMD Athlon 64 and AMD Opteron Processors

Low Power AMD Athlon 64 and AMD Opteron Processors Low Power AMD Athlon 64 and AMD Opteron Processors Hot Chips 2004 Presenter: Marius Evers Block Diagram of AMD Athlon 64 and AMD Opteron Based on AMD s 8 th generation architecture AMD Athlon 64 and AMD

More information

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER OBJECTIVES 1. Learn the basic elements of VHDL that are implemented in Warp. 2. Build a simple application using VHDL and

More information

Pmod peripheral modules are powered by the host via the interface s power and ground pins.

Pmod peripheral modules are powered by the host via the interface s power and ground pins. Digilent Pmod Interface Specification Revision: November 20, 2011 1300 NE Henley Court, Suite 3 Pullman, WA 99163 (509) 334 6306 Voice (509) 334 6300 Fax Introduction The Digilent Pmod interface is used

More information

1. Memory technology & Hierarchy

1. Memory technology & Hierarchy 1. Memory technology & Hierarchy RAM types Advances in Computer Architecture Andy D. Pimentel Memory wall Memory wall = divergence between CPU and RAM speed We can increase bandwidth by introducing concurrency

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

CONTENTS PREFACE 1 INTRODUCTION 1 2 NUMBER SYSTEMS AND CODES 25. vii

CONTENTS PREFACE 1 INTRODUCTION 1 2 NUMBER SYSTEMS AND CODES 25. vii 2006 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This material is CONTENTS PREFACE xv 1 INTRODUCTION 1 1.1 About Digital Design 1 1.2 Analog versus Digital 3 1.3 Digital Devices

More information

Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits Objectives In this lecture you will learn the following Introduction Logical Effort of an Inverter

More information

Figure 1 FPGA Growth and Usage Trends

Figure 1 FPGA Growth and Usage Trends White Paper Avoiding PCB Design Mistakes in FPGA-Based Systems System design using FPGAs is significantly different from the regular ASIC and processor based system design. In this white paper, we will

More information

Interfacing 3V and 5V applications

Interfacing 3V and 5V applications Authors: Tinus van de Wouw (Nijmegen) / Todd Andersen (Albuquerque) 1.0 THE NEED FOR TERFACG BETWEEN 3V AND 5V SYSTEMS Many reasons exist to introduce 3V 1 systems, notably the lower power consumption

More information

IV. MASK PROGRAMMABLE GATE ARRAYS...37 V. STANDARD CELL AND CUSTOM ASICS...41 VI. ASIC PACKAGING...43

IV. MASK PROGRAMMABLE GATE ARRAYS...37 V. STANDARD CELL AND CUSTOM ASICS...41 VI. ASIC PACKAGING...43 Programmable and Application Specific Integrated Circuits by Dave Landis, Ph.D., P.E. Professor of Electrical Engineering The Pennsylvania State University Center for Electronic Design, Communications,

More information

Lab 3 Layout Using Virtuoso Layout XL (VXL)

Lab 3 Layout Using Virtuoso Layout XL (VXL) Lab 3 Layout Using Virtuoso Layout XL (VXL) This Lab will go over: 1. Creating layout with Virtuoso layout XL (VXL). 2. Transistor Chaining. 3. Creating Standard cell. 4. Manual Routing 5. Providing Substrate

More information

Chapter 5 :: Memory and Logic Arrays

Chapter 5 :: Memory and Logic Arrays Chapter 5 :: Memory and Logic Arrays Digital Design and Computer Architecture David Money Harris and Sarah L. Harris Copyright 2007 Elsevier 5- ROM Storage Copyright 2007 Elsevier 5- ROM Logic Data

More information

Hardware and Software

Hardware and Software Hardware and Software 1 Hardware and Software: A complete design Hardware and software support each other Sometimes it is necessary to shift functions from software to hardware or the other way around

More information

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces White Paper Introduction The DDR3 SDRAM memory architectures support higher bandwidths with bus rates of 600 Mbps to 1.6 Gbps (300 to 800 MHz), 1.5V operation for lower power, and higher densities of 2

More information

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng Architectural Level Power Consumption of Network Presenter: YUAN Zheng Why Architectural Low Power Design? High-speed and large volume communication among different parts on a chip Problem: Power consumption

More information

Two-level logic using NAND gates

Two-level logic using NAND gates CSE140: Components and Design Techniques for Digital Systems Two and Multilevel logic implementation Tajana Simunic Rosing 1 Two-level logic using NND gates Replace minterm ND gates with NND gates Place

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology Topics of Chapter 5 Sequential Machines Memory elements Memory elements. Basics of sequential machines. Clocking issues. Two-phase clocking. Testing of combinational (Chapter 4) and sequential (Chapter

More information

A N. O N Output/Input-output connection

A N. O N Output/Input-output connection Memory Types Two basic types: ROM: Read-only memory RAM: Read-Write memory Four commonly used memories: ROM Flash, EEPROM Static RAM (SRAM) Dynamic RAM (DRAM), SDRAM, RAMBUS, DDR RAM Generic pin configuration:

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

A New Paradigm for Synchronous State Machine Design in Verilog

A New Paradigm for Synchronous State Machine Design in Verilog A New Paradigm for Synchronous State Machine Design in Verilog Randy Nuss Copyright 1999 Idea Consulting Introduction Synchronous State Machines are one of the most common building blocks in modern digital

More information

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng Digital Logic Design Basics Combinational Circuits Sequential Circuits Pu-Jen Cheng Adapted from the slides prepared by S. Dandamudi for the book, Fundamentals of Computer Organization and Design. Introduction

More information

Optimization and Comparison of 4-Stage Inverter, 2-i/p NAND Gate, 2-i/p NOR Gate Driving Standard Load By Using Logical Effort

Optimization and Comparison of 4-Stage Inverter, 2-i/p NAND Gate, 2-i/p NOR Gate Driving Standard Load By Using Logical Effort Optimization and Comparison of -Stage, -i/p NND Gate, -i/p NOR Gate Driving Standard Load By Using Logical Effort Satyajit nand *, and P.K.Ghosh ** * Mody Institute of Technology & Science/ECE, Lakshmangarh,

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Homework # 2. Solutions. 4.1 What are the differences among sequential access, direct access, and random access?

Homework # 2. Solutions. 4.1 What are the differences among sequential access, direct access, and random access? ECE337 / CS341, Fall 2005 Introduction to Computer Architecture and Organization Instructor: Victor Manuel Murray Herrera Date assigned: 09/19/05, 05:00 PM Due back: 09/30/05, 8:00 AM Homework # 2 Solutions

More information

Computer Architecture

Computer Architecture Computer Architecture Random Access Memory Technologies 2015. április 2. Budapest Gábor Horváth associate professor BUTE Dept. Of Networked Systems and Services ghorvath@hit.bme.hu 2 Storing data Possible

More information

Delay Characterization in FPGA-based Reconfigurable Systems

Delay Characterization in FPGA-based Reconfigurable Systems Institute of Computer Architecture and Computer Engineering University of Stuttgart Pfaffenwaldring 47 D 70569 Stuttgart Master s Thesis Nr. 3505 Delay Characterization in FPGA-based Reconfigurable Systems

More information

Timer A (0 and 1) and PWM EE3376

Timer A (0 and 1) and PWM EE3376 Timer A (0 and 1) and PWM EE3376 General Peripheral Programming Model Each peripheral has a range of addresses in the memory map peripheral has base address (i.e. 0x00A0) each register used in the peripheral

More information

Clock Distribution Networks in Synchronous Digital Integrated Circuits

Clock Distribution Networks in Synchronous Digital Integrated Circuits Clock Distribution Networks in Synchronous Digital Integrated Circuits EBY G. FRIEDMAN Invited Paper Clock distribution networks synchronize the flow of data signals among synchronous data paths. The design

More information

How To Write An Fpa Programmable Gate Array

How To Write An Fpa Programmable Gate Array Reconfigurable Field Programmable Gate Arrays for Mission-Critical Applications Niccolò Battezzati Luca Sterpone Massimo Violante Reconfigurable Field Programmable Gate Arrays for Mission-Critical Applications

More information

The components. E3: Digital electronics. Goals:

The components. E3: Digital electronics. Goals: E3: Digital electronics Goals: Basic understanding of logic circuits. Become familiar with the most common digital components and their use. Equipment: 1 st. LED bridge 1 st. 7-segment display. 2 st. IC

More information

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

5V Tolerance Techniques for CoolRunner-II Devices

5V Tolerance Techniques for CoolRunner-II Devices Application Note: Coolunner-II CPLDs XAPP429 (v1.0) August 8, 2003 5V Tolerance Techniques for Summary This document describes several different methods for interfacing 5V signals to Coolunner - II devices.

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm STMicroelectronics Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI SOI Processes 130nm, 65nm SiGe 130nm CMP Process Portfolio from ST Moore s Law 130nm CMOS : HCMOS9GP More than Moore

More information

Having read this workbook you should be able to: recognise the arrangement of NAND gates used to form an S-R flip-flop.

Having read this workbook you should be able to: recognise the arrangement of NAND gates used to form an S-R flip-flop. Objectives Having read this workbook you should be able to: recognise the arrangement of NAND gates used to form an S-R flip-flop. describe how such a flip-flop can be SET and RESET. describe the disadvantage

More information

11. High-Speed Differential Interfaces in Cyclone II Devices

11. High-Speed Differential Interfaces in Cyclone II Devices 11. High-Speed Differential Interfaces in Cyclone II Devices CII51011-2.2 Introduction From high-speed backplane applications to high-end switch boxes, low-voltage differential signaling (LVDS) is the

More information

7 Series FPGA Overview

7 Series FPGA Overview 7 Series FPGA Overview 7 Series FPGA Families Maximum Capability Lowest Power and Cost Industry s Best Price/Performance Industry s Highest System Performance Logic Cells Block RAM DSP Slices Peak DSP

More information

CHAPTER 11: Flip Flops

CHAPTER 11: Flip Flops CHAPTER 11: Flip Flops In this chapter, you will be building the part of the circuit that controls the command sequencing. The required circuit must operate the counter and the memory chip. When the teach

More information

Introduction to CMOS VLSI Design

Introduction to CMOS VLSI Design Introduction to CMOS VLSI esign Slides adapted from: N. Weste,. Harris, CMOS VLSI esign, Addison-Wesley, 3/e, 24 Introduction Integrated Circuits: many transistors on one chip Very Large Scale Integration

More information

Mixed Logic A B A B. 1. Ignore all bubbles on logic gates and inverters. This means

Mixed Logic A B A B. 1. Ignore all bubbles on logic gates and inverters. This means Mixed Logic Introduction Mixed logic is a gate-level design methodology used in industry. It allows a digital logic circuit designer the functional description of the circuit from its physical implementation.

More information

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing Design Verification and Test of Digital VLSI Circuits NPTEL Video Course Module-VII Lecture-I Introduction to Digital VLSI Testing VLSI Design, Verification and Test Flow Customer's Requirements Specifications

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

Computer Architecture

Computer Architecture Computer Architecture Slide Sets WS 2013/2014 Prof. Dr. Uwe Brinkschulte M.Sc. Benjamin Betting Part 11 Memory Management Computer Architecture Part 11 page 1 of 44 Prof. Dr. Uwe Brinkschulte, M.Sc. Benjamin

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

Signal Integrity: Tips and Tricks

Signal Integrity: Tips and Tricks White Paper: Virtex-II, Virtex-4, Virtex-5, and Spartan-3 FPGAs R WP323 (v1.0) March 28, 2008 Signal Integrity: Tips and Tricks By: Austin Lesea Signal integrity (SI) engineering has become a necessary

More information

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram Quad PLL with VCXO Quick Turn Clock Description The ICS379 QTClock TM generates up to 9 high quality, high frequency clock outputs including a reference from a low frequency pullable crystal. It is designed

More information

Digital Design and Synthesis INTRODUCTION

Digital Design and Synthesis INTRODUCTION Digital Design and Synthesis INTRODUCTION The advances in digital design owe its progress to 3 factors. First the acceleration at which the CMOS technology has advanced in last few decades and the way

More information

Computer Systems Structure Main Memory Organization

Computer Systems Structure Main Memory Organization Computer Systems Structure Main Memory Organization Peripherals Computer Central Processing Unit Main Memory Computer Systems Interconnection Communication lines Input Output Ward 1 Ward 2 Storage/Memory

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

CHAPTER 5 FINITE STATE MACHINE FOR LOOKUP ENGINE

CHAPTER 5 FINITE STATE MACHINE FOR LOOKUP ENGINE CHAPTER 5 71 FINITE STATE MACHINE FOR LOOKUP ENGINE 5.1 INTRODUCTION Finite State Machines (FSMs) are important components of digital systems. Therefore, techniques for area efficiency and fast implementation

More information

PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide

PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide Application Note PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide Introduction This document explains how to design a PCB with Prolific PL-277x SuperSpeed USB 3.0 SATA Bridge

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

Figure 8-1 Four Possible Results of Adding Two Bits

Figure 8-1 Four Possible Results of Adding Two Bits CHPTER EIGHT Combinational Logic pplications Thus far, our discussion has focused on the theoretical design issues of computer systems. We have not yet addressed any of the actual hardware you might find

More information

Reconfigurable Computing. Reconfigurable Architectures. Chapter 3.2

Reconfigurable Computing. Reconfigurable Architectures. Chapter 3.2 Reconfigurable Architectures Chapter 3.2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design Coarse-Grained Reconfigurable Devices Recall: 1. Brief Historically development (Estrin Fix-Plus

More information

PCB ROUTERS AND ROUTING METHODS

PCB ROUTERS AND ROUTING METHODS PCB ROUTERS AND ROUTING METHODS BY: LEE W. RITCHEY, SPEEDING EDGE, COPYRIGHT SPEEDING EDGE DECEMBER 1999 FOR PUBLICATION IN FEBRUARY ISSUE OF PC DESIGN MAGAZINE INTRODUCTION Routing of printed circuit

More information

Design of a High Speed Communications Link Using Field Programmable Gate Arrays

Design of a High Speed Communications Link Using Field Programmable Gate Arrays Customer-Authored Application Note AC103 Design of a High Speed Communications Link Using Field Programmable Gate Arrays Amy Lovelace, Technical Staff Engineer Alcatel Network Systems Introduction A communication

More information

With respect to the way of data access we can classify memories as:

With respect to the way of data access we can classify memories as: Memory Classification With respect to the way of data access we can classify memories as: - random access memories (RAM), - sequentially accessible memory (SAM), - direct access memory (DAM), - contents

More information

Chapter 9 Latches, Flip-Flops, and Timers

Chapter 9 Latches, Flip-Flops, and Timers ETEC 23 Programmable Logic Devices Chapter 9 Latches, Flip-Flops, and Timers Shawnee State University Department of Industrial and Engineering Technologies Copyright 27 by Janna B. Gallaher Latches A temporary

More information