A. F. Muhammad Alimin, A. A. Mohd Radzi, N. A. F. Sazali, S. F. Wan Muhamad Hatta, N. Soin & H. Hussin

Size: px
Start display at page:

Download "A. F. Muhammad Alimin, A. A. Mohd Radzi, N. A. F. Sazali, S. F. Wan Muhamad Hatta, N. Soin & H. Hussin"

Transcription

1 Influence of Design and Process Parameters of 32-nm Advanced-Process High-k p- MOSFETs on Negative-Bias Temperature Instability and Study of Defects A. F. Muhammad Alimin, A. A. Mohd Radzi, N. A. F. Sazali, S. F. Wan Muhamad Hatta, N. Soin & H. Hussin Journal of Electronic Materials ISSN Journal of Elec Materi DOI 1.17/s

2 Your article is protected by copyright and all rights are held exclusively by The Minerals, Metals & Materials Society. This e-offprint is for personal use only and shall not be selfarchived in electronic repositories. If you wish to self-archive your article, please use the accepted manuscript version for posting on your own website. You may further deposit the accepted manuscript version in any repository, provided it is only made publicly available 12 months after official publication or later and provided acknowledgement is given to the original source of publication and a link is inserted to the published article on Springer's website. The link must be accompanied by the following text: "The final publication is available at link.springer.com. 1 23

3 Journal of ELECTRONIC MATERIALS DOI: 1.17/s Ó 217 The Minerals, Metals & Materials Society Influence of Design and Process Parameters of 32-nm Advanced-Process High-k p-mosfets on Negative-Bias Temperature Instability and Study of Defects A.F. MUHAMMAD ALIMIN, 1 A.A. MOHD RADZI, 1 N.A.F. SAZALI, 1 S.F. WAN MUHAMAD HATTA, 1,3 N. SOIN, 1 and H. HUSSIN 2 1. Department of Electrical Engineering, Faculty of Engineering, University of Malaya, Kuala Lumpur, Malaysia. 2. Faculty of Electrical Engineering, Universiti Teknologi MARA, Selangor, Malaysia sh_fatmadiana@um.edu.my Negative-bias temperature instability (NBTI) has become a prominent factor limiting scaling of complementary metal oxide semiconductor technology. This work presents a comprehensive simulation study on the effects of critical design parameters of 32-nm advanced-process high-k p-channel metal oxide semiconductor field-effect transistors on NBTI. The NBTI mechanism and defects were explored for various geometric and process design parameters over a wide range of values. The NBTI simulation method applied in this work follows the on-the-fly method to capture the mechanisms of fast and slow traps. This work illustrates the dependence of the threshold voltage (V th ) degradation on the stress oxide field and stress temperature as well as investigation of the Arrhenius plot for the devices. The temperature insensitivity during short stress time of 1 ms indicates absence of generated defects and presence of preexisting defects. It is also observed that significant defects are generated in the gate stack subsequent to NBTI. The slope obtained from the V th degradation analysis at 1 ks and 375 C shows that changing the SiO 2 interfacial layer thickness affects the V th degradation by 96.16% more than changing the HfO 2 thickness and by 8.67% more than changing the metal gate thickness. It is also found that the NBTI effect depends on process design considerations, specifically the boron concentration in the highly doped drain, the metal gate work function, and the halo doping concentration; it was observed that higher boron dose and high metal work function may lead to higher V th degradation. However, the halo doping concentration in the advanced 32-nm structure has an insignificant effect on NBTI. Key words: High-k, NBTI, defects, MOSFETs, reliability, degradation INTRODUCTION Over recent decades, miniaturization of metal oxide semiconductor field-effect transistor (MOSFET) devices has revolutionized the semiconductor industry, enabling production of extremely complex devices and systems. Scaling of MOSFET devices is important to achieve greater integration density, as compact transistors result in increased functionality, reduced (Received October 22, 216; accepted May 6, 217) power consumption, and faster switching time at reduced cost. However, such scaling of MOSFETs has been shown to result in short-channel effects that degrade device performance, particularly as the technology moves into the deep-submicron region, as reported by key players in the industry. 1 To mitigate this problem, use of high-k oxide has been introduced. 2,3 High-k material is proven to result in improved device performance as well as good thermal stability. Despite its success in reducing leakage current, significant reliability issues emerge when using high-k dielectric, one of which is

4 Alimin, Radzi, Sazali, Hatta, Soin, and Hussin known as negative-bias temperature instability (NBTI). This degradation mechanism has become one of the most prominent limiting factors and is the key reliability issue when scaling complementary metal oxide semiconductor (CMOS) technology. NBTI results in a threshold voltage shift for either negative gate voltage or elevated temperature. Nowadays, most of the semiconductor industry 4 6 has migrated to use of high-k dielectrics in integrated circuits, thus increasing concerns regarding the reliability of electronic devices. Therefore, understanding device reliability has become crucial for foundries and integrated device manufacturers to enable better yield and more reliable endproducts. The growing number of publications addressing reliability characteristics of high-k dielectrics indicates the increasing interest in this area However, it appears that most attention has been paid to modeling and measurements of NBTI. Meanwhile, there is still a lack of understanding regarding how the parameters and fabrication processes of high-k metal gate stack p-channel MOSFETs affect NBTI for deep-sub-nm devices. Understanding the exact mechanism of the hole trap transformation process in each layer of the gate stack is important as this will help researchers to quantify NBTI defect characteristics in order to assess device reliability. Study of the impact of NBTI as a function of design parameters will also help determine security margins during design optimization. This paper presents a comprehensive simulation study on the effect of geometric and process variables of 32-nm advanced-process high-k p-mos- FETs on NBTI. Although a few previous works reported on the dependence of NBTI on the gate stack and corresponding processes, none of them further investigated the effects of advanced geometric features such as spacer length or SiGe pocket depth on NBTI. Such advanced geometric features are another new aspect that must be explored, notably in terms of reliability. Considering this requirement, this work focuses particularly on the effects of such advanced geometric features and process parameters on NBTI, as well as identifying the layer in the gate stack which contributes most to NBTI threshold voltage degradation. DEVICES AND SIMULATION CONDITIONS 32-nm Advanced-Process High-k p-mosfet The Synopsys TCAD Sentaurus simulator 17 was adopted to simulate a 32-nm hafnium-based high-k dielectric with aluminium nitride (AlN) metal gate p-mosfet, as shown in Fig. 1. The devices used were based on a 32-nm gate-first CMOS process flow, following standard manufacturing trends for such submicron devices. This fabrication process includes shallow trench isolation (STI) formation, high-k gate dielectric and metal gate deposition, stress engineering application, as well as use of laser annealing. Implementation of this gate-first CMOS process scheme helps to suppress leakage current, improve drive current, and overcome process-related problems such as ultrashallow junction formation. The laser annealing process was adopted to suppress transient-enhanced dopant diffusion. Figure 2 compares simulation results for the electrical characteristics with experimental data from Yasutake et al. 18 obtained on devices fabricated using processes similar to those considered herein. The simulated device shows good agreement with the experimental data, providing confidence that the simulation model is credible. To study the impact of NBTI for devices with different structures, a wide range of geometric variation was simulated. The geometric parameters that were varied included the thicknesses of the HfO 2 high-k layer (2 nm to 4 nm), SiO 2 interfacial layer (.5 nm to 1 nm), and AlN metal gate (1.8 nm to 6 nm) as well as additional advanced geometrical features including polypitch, spacer length, and SiGe pocket depth. 13,14,19 22 The effect of a specific design parameter on NBTI was investigated by varying each parameter at a time while keeping the others constant. Regarding the process design, the degradation of the device was studied while varying the boron dose of highly doped drain (HDD), metal gate work function, and halo doping concentration. The geometric structure of the device was fixed in order to study solely the impact of such process variations on NBTI. NBTI Simulation Conditions The TCAD software adopts a set of physical models including a hydrodynamic transport model and enhanced Lombardi model 23 with high-k degradation where remote Coulomb scattering (RCS) and remote phonon scattering (RPS) are taken into account. The threshold voltage (V th ) degradation was extracted from the simulation using the on-the-fly (OTF) method 24 to suppress recovery effects during electrical parameter measurements. Application of prestress voltageisnecessary,asitgovernsthegatebiasin setting the initial conditions before the stress stage of the simulation. The NBTI effect was studied at high stress biases with oxide field (E ox )ofapproximately 8 MV/cmto 12 MV/cm and at stress temperatures ranging from room temperature (RT) to 375 K. This work focuses on the properties of as-grown and generated defects, hence stress times from 1 ms to 1 s were investigated. RESULTS AND DISCUSSION Influence of Geometric Variations on NBTI The effect on NBTI may be different for different geometric variations. The effect of geometric variations on NBTI was investigated by extensively varying the geometric properties of the thicknesses of the HfO 2 high-k layer, SiO 2 interfacial layer (IL),

5 Influence of Design and Process Parameters of 32-nm Advanced-Process High-k p-mosfets on Negative-Bias Temperature Instability and Study of Defects Fig. 1. Cross-sectional structure of high-k gate stack. Id (A/μm) Line + symbol : This work Line : Experimental data from Ref [18] Vg (V) and metal gate layer as well as additional advanced features at the 32-nm technology node, including polypitch, spacer length, and SiGe pocket depth. Figure 2 shows the threshold voltage degradation for different HfO 2, SiO 2, and metal gate thicknesses for stress time of 1 ms, 1 s, and 1 s and different stress temperatures. It has been reported that, at short stress time, specifically 1 ms, NBTI is dominated by hole trapping at preexisting defects. 28,29 The degradation of the threshold voltage, denoted as DV th, is analyzed here. It is apparent from Fig. 3c, f, and i that the preexisting defects are insensitive to temperature and the level of degradation is consistently small for all thicknesses investigated. At stress time of 1 s and beyond, generated defects start to dominate. 28 Generated defects are prone to increase at high temperature for high stress time when looking at the difference between room temperature and stress temperature of 375 K for stress time of 1 s compared with 1 s. However, this difference is distinctly smaller in the metal gate layer. Figure 3 also suggests that the Vd: -1V -.5V Fig. 2. Comparison of I d V g characteristic between simulated device and experimental data. effect of temperature is more significant for smaller than larger thicknesses for stress time of 1 s and 1 s. This finding is consistent with those of previous studies 3 which concluded that, in thinner oxide, the leakage current mechanism is more temperature dependent due to the higher channel surface current caused by either drain-induced barrier lowering (DIBL) or deep channel punchthrough currents, which are aggravated at high temperature. 31 The dependence of the threshold voltage degradation on the HfO 2 and metal gate thicknesses indicates higher degradation for greater thicknesses, while SiO 2 contradicts this observation, with thinner SiO 2 seeming to have higher degradation compared with thicker material. Greater HfO 2 thickness in Fig. 3a, and b shows higher V th shift due to more trapping in the bulk high-k layer. 32 This supports the finding of a considerable amount of defects in the bulk high-k layer, since the degradation is highly dependent on the thickness of the bulk. On the other hand, a thinner SiO 2 interfacial layer leads to greater Vth degradation, which is in contrast to HfO 2 due to the higher fast transient charging (FTC) effect. 14,32 The FTC effect is more important for thinner equivalent oxide thickness (EOT), notably through scaling of the interfacial layer. 33 The tunneling barrier for holes in the bulk is also reduced as the SiO 2 IL thickness is reduced, increasing the probability of defect generation or hole trapping in the oxide bulk. 34 Figure 3 also shows that the Vth degradation increases as the metal gate thickness is increased, confirming results in earlier literature 25,35 where it was found that increasing the gate thickness enhanced nitrogen diffusion to the silicon interface, consequently increasing degradation. Previously reported chemical analysis by time-of-flight (ToF) secondary-ion mass spectrometry (SIMS) measurements 36 to investigate the nitrogen distribution in

6 Alimin, Radzi, Sazali, Hatta, Soin, and Hussin mV mV 14 Ref [26] HfO2 thickness Ref [26] (nm) (b) 12.95mV 29.85mV Stress time: 1s (c) (n =.337) (n =.371) (n =.73) (n =.226) (n =.297) (n =.11) -5 Stress time: 1ms HfO2 thickness (nm) mV mV (d) (e) (f) IL thickness Ref [27] (nm) mV 12.95mV Stress time: 1s (n = -.343) (n = ) (n = ) Ref [27] (n = -.178) (n = -.544) (n = -.847) -5-1 Stress time: 1ms SiO2 thickness (nm) MG thickness Ref [25] (nm) (n =.34) 26.11mV (n =.31) 165 (n =.367) 11.1mV (g) (h) (i) Ref [25] 4.14mV 21.2mV Stress time: 1s Stress time: 1ms MG thickness (nm) Fig. 3. V th degradation (DV th ) after stress time of 1 ms, 1 s, and 1 s at different stress temperatures for various values of (a c) HfO 2 thickness, (d f) SiO 2 thickness, and (g i) metal gate thickness. The trend of the results is in agreement with previous works the high-k metal gate stack showed that nitrogen diffuses from metal nitride into the stack during metal nitride and/or poly-si deposition. Bae et al. 37 reported that thicker metal gate resulted in higher interface trap density due to stress from the metal nitride layer subsequent to high-temperature annealing. High-temperature annealing causes the agglomeration effect, where crystallites of metal nitride films begin to build up. Growth of such crystallites will result in elastic deformation, eventually introducing stress into the metal nitride layer. Thinner metal nitride layer would lead to lesser diffusion of nitrogen due to nitrogen deficiency, as discussed in Ref. 38. The slope obtained from Fig. 3 shows that changing the SiO 2 IL thickness affects the V th degradation by 96.16% more than changing the HfO 2 thickness and by 8.67% more than changing the metal gate thickness, for stress temperature of 375 K and stress time of 1 s. Therefore, it can be summarized that the SiO 2 IL appears to make a prominent contribution to the V th degradation. Figure 4 shows the dependence of the oxide field on the thicknesses of the high-k HfO 2, SiO 2 IL, and metal gate layers for stress time of 1 ms and 1 s. The V th degradation is observed to be highly dependent on the oxide field, but this dependence is not affected by the thickness parameters, particularly at lower oxide field. At higher oxide field, the thicknesses of the SiO 2 and metal gate layers seem to influence the threshold voltage shift such that the V th degradation increases substantially as the SiO 2 thickness is reduced or the metal gate thickness is increased. The oxide field is found to be the cause of creation of interface traps during NBTI degradation of pure oxides, 39 suggesting that, for the result shown in Fig. 4, more interface traps are created in thinner SiO 2 and thicker metal gate at higher compared with lower oxide field. The Arrhenius relations for different HfO 2, SiO 2 IL, and metal gate thicknesses are plotted in Fig. 5 to obtain the activation energy (E a ). Figure 5 suggests that the activation energy tends to reduce as the thickness is increased, in good agreement with earlier work. 42 Previous studies 28,43 found that the overall temperature activation is reduced due to hole trapping. As the gate stack thickness is increased, the hole-trapping volume also increases, leading to lower E a. 44 Figure 6 shows the adjusted V th shift when the fast and slow traps are separated. One has to subtract the fast trap contribution in order to obtain the slope corresponding to the longterm degradation processes. 32 The inset shows that the presence of fast traps reduces the time dependence of the overall NBTI. Not much difference in the adjusted V th degradation can be seen between 2 nm and 3 nm HfO 2 in Fig. 6a. Figure 6b shows the adjusted V th degradation for two different SiO 2 IL thicknesses. The difference in the V th shift between.5 nm and.8 nm SiO 2 seems to be more significant at higher oxide field. A similar observation can be made for the metal gate in Fig. 6c, where the difference in the adjusted V th degradation is more pronounced at higher than lower oxide field. These results clearly demonstrate that more slow traps/interface traps are generated in thinner SiO 2 and thicker metal gate thickness at higher oxide field, supporting the finding discussed for Fig. 4.

7 Influence of Design and Process Parameters of 32-nm Advanced-Process High-k p-mosfets on Negative-Bias Temperature Instability and Study of Defects 2. HfO2 thickness: nm 2.2nm 2.5nm 3nm 1.4 4nm Stress time: 1ms. Stress temp: SiO2 thickness: 1.8.5nm 1.6.6nm.7nm 1.4.8nm 1.nm Stress temp: (c) -.2 Stress time: 1ms MG thickness: 1.8 nm 2 nm 3 nm 5 nm 6 nm Stress time: 1ms Stress temp: (e) (b) 4 Stress temp: Additional Advanced Geometrical Features To further investigate the influence of the geometry on NBTI, additional advanced features including polypitch, spacer length, and SiGe pocket depth were varied. Figure 7 shows the stress temperature dependence for different polypitch, spacer length, and SiGe pocket depth parameters. Smaller polypitch and spacer length resulted in greater sensitivity to temperature, in contrast with SiGe pocket depth, as greater SiGe pocket depth seemed to correspond to greater temperature susceptibility. In literature, it is reported that channel stress is enhanced with polypitch scaling. 21 Scaling the spacer length as well as SiGe pocket depth could also contribute to channel stress. Reducing the HfO2 thickness: 2nm 2.2nm 2.5nm 3nm 4nm Ref [25] SiO2 thickness:.5nm.6nm.7nm.8nm 1.nm 4 Ref [4] Stress temp: (d) MG thickness: 1.8 nm 2 nm 3 nm 5 nm 6 nm Ref [41] 4 (f) Stress temp: Fig. 4. Oxide field dependence at stress time of 1 ms and 1 s for stress temperature of 375 K with different values of (a, b) HfO 2 thickness, (c, d) SiO 2 thickness, and (e, f) metal gate thickness. The trend of the results is in agreement with previous works. 25,4, Ref [42] 3nm HfO2 HfO2 thickness: 2nm; Ea 36.1 mev 2.2nm; Ea mev 3nm; Ea mev (b).6 nm Ea mev (c).8 nm Ea.27 mev Ref [42] 2nm HfO2 SiO2 thickness:.5nm.6nm.5 nm.8nm Ea mev 5 nm Ea mev 3 nm Ea mev nm Ea 59.9 mev /kT (ev -1 ) MG thickness: 1.8nm 3nm 5nm Fig. 5. Arrhenius plots for various thicknesses of HfO 2, (b) SiO 2, and (c) metal gate in order to obtain the activation energy (E a ). spacer length will result in higher channel stress, 45 while reducing the SiGe pocket depth will lead to reduced stress in the channel. 46 Channel stress enhances the hole tunneling probability, thus facilitating breakage of Si H bonds at the Si SiO 2 interface, which creates more interface traps. 47,48 Interface traps are sensitive to temperature; 49,5 the temperature sensitivity is seen in the plots in Fig. 7. Influence of Process Variations on NBTI In addition to the geometric parameters, the influence of process design variations on NBTI was also investigated by varying the boron dose of the highly doped drain (HDD), the metal gate work function of the device, and the halo doping concentration. Boron is widely known to enhance NBTI degradation due to boron penetration into the gate oxide. 51 Boron diffusion from the HDD region into the gate oxide can result in higher initial density of electrically activated defects at Si SiO 2 near channel edges. 52 The threshold voltage degradation over

8 Alimin, Radzi, Sazali, Hatta, Soin, and Hussin DVth - DVth (1s) DVth - DVth (1s) DVth - DVth (1s) nm HfO2 Stress temp: DVth (V): DVth - DVth (1s) : -8MV/cm -8MV/cm Stress temp: 1 3 DVth (mv) 1 3.5nm SiO2 Stress temp: DVth : DVth - DVth (1s) : -8MV/cm -8MV/cm Stress temp: 2nm HfO2: -8MV/cm 3nm HfO2: -8MV/cm.5nm SiO2: -8MV/cm.8nm SiO2: -8MV/cm (b) DVth (mv) 1 3 5nm MG Stress temp: DVth : DVth - DVth (1s) : -8 MV/cm -8 MV/cm -12 MV/cm -12 MV/cm nm MG: -8 MV/cm -12 MV/cm 5nm MG: -8 MV/cm -12 MV/cm Stress temp: (c) Fig. 6. Adjusted DV th for 2 nm and 3 nm HfO 2, (b).5 nm and.8 nm SiO 2 IL, and (c) 2 nm and 5 nm metal gate thickness. Insets show the total DV th compared with DV th DV th (1 s). stress time at 3 K, 35 K, and 375 K for different HDD boron doses is shown in Fig. 8, in agreement with previous study where higher boron dose was observed to cause higher V th degradation. 53 Figure 9 shows the total current density of a device with metal gate work function of 3. ev stressed under oxide field of 12 MV/cm at stress temperature of 375 K. It is clear that the total current density shows degradation after the device was stressed for 1 s. The total current density in the channel was reduced by 64.15% after stress. The threshold voltage degradation obtained when varying the metal gate work function is shown in Fig. 1. It is observed that higher metal gate work DVth (V) DVth (V) DVth (V) nm Polypitch: 6nm Polypitch: (b) 14nm Spacer length: 4nm Spacer length: (c) 3nm Pocket: 5nm Pocket: 1 3 Fig. 7. V th degradation (DV th ) for polypitch of nm and 6 nm, (b) spacer length of 14 nm and 4 nm, and (c) SiGe pocket depth of 3 nm and 5 nm for stress temperature of 3 K, 35 K, and 375 K. function resulted in higher V th degradation. The high V th degradation is caused by trapped electrons in HfO 2 that discharge to the substrate when the IL is thin, and this problem is aggravated for high metal gate work function, for which more trapped electrons are at energies above the equilibrium Fermi level and are therefore free to discharge to the substrate. 54 Figure 11 shows the V th degradation of a PMOS device with halo doping of cm 3 and cm 3 for stress temperature of 4 K for 1 s. These results reveal no significant change in the threshold voltage degradation when varying the halo doping. This may be due to the halo structure, which is slightly further from the SiO 2 bulk interface, where most of the defects are located according to Ref. 55.

9 Influence of Design and Process Parameters of 32-nm Advanced-Process High-k p-mosfets on Negative-Bias Temperature Instability and Study of Defects (b) 1 Oxide field, Eox: -1MV/cm -14MV/cm Halo doping: 8.5 x 2 cm -3 Stress temp: 4K 1 Oxide field, Eox: -1MV/cm -14MV/cm Halo doping: 5 x 6 cm -3 Stress temp: 4K 3.2 x 1 cm -3 Boron: 9 x 5 cm -3 Boron: 1 3 Fig. 8. V th degradation (DV th ) for different HDD boron doses for stress temperatures of 3 K, 35 K, and 375 K. Higher HDD boron dose corresponds to higher DV th. Fig. 9. Total current density at stress temperature of 375 K for a device with metal gate work function of 3. ev (left: prestress 2.5 MV/cm; right: stress at 12 MV/cm) eV 4.eV Stress temp: 3.eV Oxide field, Eox: -8MV/cm -9MV/cm -1MV/cm -11MV/cm Fig. 1. V th degradation (DV th ) at stress temperature of 375 K with oxide field of 12 MV/cm for device with metal gate work function of 3. ev, 4. ev, and 5. ev. Higher metal gate work function results in higher threshold voltage shift. CONCLUSIONS We present analysis of the effects of geometric and process parameters of advanced-process 32-nm PMOS devices on NBTI. The threshold voltage degradation is observed to increase considerably when the high-k layer or metal gate layer thickness is increased, whereas increasing the SiO 2 interfacial layer thickness results in reduced threshold voltage Fig. 11. V th degradation (DV th ) of PMOS device with different halo doping of cm 3 and (b) cm 3 for stress temperature of 4 K for 1 s. shift. It is found that the V th degradation shows the strongest dependence on the SiO 2 IL thickness, compared with the HfO 2 high-k or metal gate thickness. Changing the SiO 2 IL thickness is shown to affect the V th degradation by 96.16% more than changing the HfO 2 thickness and by 8.67% more than changing the metal gate, at stress temperature of 375 K for stress time of 1 s. The temperature insensitivity during short stress time of 1 s indicates absence of generated defects and presence of preexisting defects, as generated defects show strong temperature dependence. At high oxide field, more generated traps are observed for thinner SiO 2 IL and thicker metal gate thickness. This indicates that inclusion of the metal gate after integration of high-k technology can result in substantial BTI effects. Regarding the process design, higher HDD boron dose is shown to deteriorate the threshold voltage due to penetration of boron into the gate oxide. Our results also show that higher metal gate work function exacerbates trapped carriers in HfO 2, leading to attenuation of the threshold voltage. NBTI is found to be independent of the halo doping concentration, which may be due to the location of the halo structure slightly farther from the SiO 2 bulk interface of the device. ACKNOWLEDGEMENTS The authors are grateful for financial support provided by the Ministry of Higher Education (MOHE) for FRGS: FP45-214B, 6-RMI/FRGS 5/3 (31/215) and the University of Malaya for Postgraduate Research Fund (PPP): PG A. REFERENCES 1. M. Bohr, in Intel Developer Forum 214 (Intel Corporation, 214). 2. S. Hall, O. Buiu, I.Z. Mitrovic, Y. Lu, and W.M. Davey, J. Telecommun. Inf. Technol. 33 (27). 3. G.D. Wilk, R.M. Wallace, and J. Anthony, J. Appl. Phys. 89, 5243 (21). 4. Samsung, 32/28 nm Low-Power High-K Metal Gate Logic Process and Design Ecosystem (Samsung Semiconductor Inc, San Jose, CA, 211). 5. Global Foundries, Low Power High-k Metal Gate 28 nm CMOS Solutions for Mobile High Performance Applications (Global Foundries Inc., 211).

10 Alimin, Radzi, Sazali, Hatta, Soin, and Hussin 6. J. Hicks, Intel Technol. J. 12, 77 (28). 7. S. Mahapatra, M.A. Alam, P.B. Kumar, T. Dalei, D. Varghese, and D. Saha, Microelectron. Eng. 8, 114 (25). 8. T. Grasser, B. Kaczer, W. Goes, T. Aichinger, P. Hehenberger, and M. Nelhiebel, in IEEE International Reliability Physics Symposium (29), p V.D. Maheta, E.N. Kumar, S. Purawat, C. Olsen, K. Ahmed, and S. Mahapatra, IEEE Trans. Electron Devices 55, 2614 (28). 1. A. Boo and D.S. Ang, IEEE Trans. Electron Devices 59, 3133 (212). 11. S.W.M. Hatta, Z. Ji, J. Zhang, W. Zhang, N. Soin, B. Kaczer, S. Gendt, and G. Groeseneken, Microelectron. Reliab. 54, 2329 (214). 12. Y.A. Wahab, N. Soin, and S.W.M. Hatta, Microelectron. Reliab. 54, 2334 (214). 13. S.W.M. Hatta, N. Soin, D.A. Hadi, and J. Zhang, Microelectron. Reliab. 5, 1283 (21). 14. H. Hussin, N. Soin, M. Bukhori, S. Wan Muhamad Hatta, and Y. Abdul Wahab, The Scientific World Journal (214). 15. T. Brozek, C. Kyono, and V. Ilderem, Solid-State Electron. 45, 1293 (21). 16. S. Song, C. Park, J. Price, C. Burham, R. Choi, H. Wen, K. Choi, H. Tseng, B. Lee, and R. Jammy, in IEEE International Electron Devices Meeting (27), p Synopsys, 32-nm Gate-First Flow and CMOS Processing (Synopsys Inc., Mountain View, CA, 211). 18. N. Yasutake, A. Azuma, T. Ishida, K. Ohuchi, N. Aoki, N. Kusunoki, S. Mori, I. Mizushima, T. Morooka, and S. Kawanaka, Solid-State Electron. 51, 1437 (27). 19. T. Uchino, T. Shiba, K. Ohnishi, A. Miyauchi, M. Nakata, Y. Inoue, and T. Suzuki, in IEEE International Electron Devices Meeting (1997), p G. Bae, T. Choe, S. Kim, H. Rhee, K. Lee, N. Lee, K. Kim, Y. Park, H. Kang, and Y. Kim, in IEEE International Electron Devices Meeting (2), p N. Alam, B. Anand, and S. Dasgupta, in International Symposium Quality Electronic Design (212), p J. Yuan and J.C. Woo, Jpn. J. Appl. Phys. 43, 1742 (24). 23. C. Lombardi, S. Manzini, A. Saporito, and M. Vanzi, IEEE Trans. Comput. Aid. Des. Integr. Circuits Syst. 7, 1164 (1988). 24. M. Denais, C. Parthasarathy, G. Ribes, Y. Rey-Tauriac, N. Revil, A. Bravaix, V. Huard, and F. Perrier, in IEEE International Electron Devices Meeting (24), p X. Garros, M. Casse, G. Reimbold, M. Rafik, F. Martin, F. Andrieu, V. Cosnier, and F. Boulanger, Microelectron. Eng. 86, 169 (29). 26. J. Sim, S. Song, P. Kirsch, C. Young, R. Choi, D. Kwong, B. Lee, and G. Bersuker, Microelectron. Eng. 8, 218 (25). 27. S. Mukhopadhyay, K. Joshi, V. Chaudhary, N. Goel, S. De, R. Pandey, K. Murali, and S. Mahapatra, in IEEE International Reliability Physics Symposium (214). 28. S. Mahapatra, N. Goel, S. Desai, S. Gupta, B. Jose, S. Mukhopadhyay, K. Joshi, A. Jain, A.E. Islam, and M. Alam, IEEE Trans. Electron Devices 6, 91 (213). 29. D. Veksler and G. Bersuker, J. Appl. Phys. 115, 1 (214). 3. S.A. Krishnan, M.A. Quevedo-Lopez, R. Choi, P.D. Kirsch, C. Young, R. Harris, J.J. Peterson, H.-J. Li, B.H. Lee, and J.C. Lee, in IEEE International Integrated Reliability Workshop Final Report (25). 31. M. Ohring, and L. Kasprzak, Reliability and Failure of Electronic Materials and Devices (Academic, 214). 32. A. Neugroschel, G. Bersuker, R. Choi, C. Cochrane, P. Lenahan, D. Heh, C. Young, C. Kang, B. Lee, and R. Jammy, in IEEE International Electron Devices Meeting (26), p B. Lee, C. Young, R. Choi, J. Sim, G. Bersuker, C. Kang, R. Harris, G. Brown, K. Matthews, and S. Song, in IEEE International Electron Devices Meeting (24), p M. Cho, J.D. Lee, M. Aoulaiche, B. Kaczer, P. Roussel, T. Kauerauf, R. Degraeve, J. Franco, L.A. Ragnarsson, and G. Groeseneken, IEEE Trans. Electron Devices 59, 242 (212). 35. X. Garros, M. Casse, M. Rafik, C. Fenouillet-Béranger, G. Reimbold, F. Martin, C. Wiemer, and F. Boulanger, Microelectron. Reliab. 49, 982 (29). 36. C. Gaumer, E. Martinez, S. Lhostis, C. Wiemer, M. Perego, V. Loup, D. Lafond, and J.M. Fabbri, ECS Trans. 16, 99 (28). 37. S.H. Bae, S.C. Song, K. Choi, G. Bersuker, G.A. Brown, D.L. Kwong, and B.H. Lee, Microelectron. Eng. 83, 46 (26). 38. K. Choi, H.C. Wen, H. Alshareef, R. Harris, P. Lysaght, H. Luan, P. Majhi, and B. Lee, in Solid-State Device Research Conference (25), p V. Huard, M. Denais, and C. Parthasarathy, Microelectron. Reliab. 46, 1 (26). 4. E. Cartier, A. Kerber, T. Ando, M. Frank, K. Choi, S. Krishnan, B. Linder, K. Zhao, F. Monsieur, and J. Stathis, in IEEE International Electron Devices Meeting (211). 41. X. Garros, M. Casse, C. Fenouillet-Beranger, G. Reimbold, F. Martin, C. Gaumer, C. Wiemer, M. Perego, and F. Boulanger, in IEEE International Reliability Physics Symposium (29), p A. Neugroschel, G. Bersuker, R. Choi, and B.H. Lee, IEEE Trans. Device Mater. Reliab. 8, 47 (28). 43. M.A. Alam and S. Mahapatra, Microelectron. Reliab. 45, 71 (25). 44. S. Mahapatra, K. Ahmed, D. Varghese, A. Islam, G. Gupta, L. Madhav, D. Saha, and M. Alam, in Reliability Physics Symposium (27), p G. Eneman, P. Verheyen, A. De Keersgieter, M. Jurczak, and K. De Meyer, IEEE Trans. Electron Devices 54, 1446 (27). 46. A.L. Rodriguez, M.B. Gonzalez, G. Eneman, C. Claeys, D. Kobayashi, E. Simoen, and J.A.J. Tejada, IEEE Trans. Electron Devices 58, 2362 (211). 47. X. Gong, B. Liu, and Y.C. Yeo, IEEE Trans. Device Mater. Reliab. 13, 524 (213). 48. T. Irisawa, T. Numata, E. Toyoda, N. Hirashita, T. Tezuka, N. Sugiyama, and S. Takagi, in IEEE Symposium on VLSI Technology (27), p J. Lee, W. Wu, A. Islam, M. Alam and A. Oates, in IEEE International Reliability Physics Symposium (28), pp J. Zhang, Microelectron. Eng. 86, 1883 (29). 51. D.K. Schroder and J.A. Babcock, J. Appl. Phys. 94, 1 (23). 52. B. Djezzar, H. Tahi, A. Benabdelmoumene, and A. Chenouf, Solid-State Electron. 82, 46 (213). 53. T. Yamamoto, K.I. Uwasawa, and T. Mogami, IEEE Trans. Electron Devices 46, 921 (1999). 54. J. Schaeffer, D. Gilmer, S. Samavedam, M. Raymond, A. Haggag, S. Kalpat, B. Steimle, C. Capasso, and B. White, J. Appl. Phys. 12, (27). 55. J.F. Zhang, M.H. Chang, Z. Ji, L. Lin, I. Ferain, G. Groeseneken, L. Pantisano, S. De Gendt, and M.M. Heyns, IEEE Electron Device Lett. 29, 136 (28).

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Metal Gate / High-k Reliability Characterization: From Research to Development and Manufacturing. Andreas Kerber, PhD Technology Research Group

Metal Gate / High-k Reliability Characterization: From Research to Development and Manufacturing. Andreas Kerber, PhD Technology Research Group Metal Gate / High-k Reliability Characterization: From Research to Development and Manufacturing Andreas Kerber, PhD Technology Research Group Acknowledgement Colleagues at GLOBALFOUNDRIES, IBM, and research

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Electron mobility in MOSFETs with ultrathin RTCVD silicon nitride/oxynitride stacked gate dielectrics

Electron mobility in MOSFETs with ultrathin RTCVD silicon nitride/oxynitride stacked gate dielectrics Solid-State Electronics 47 (2003) 49 53 www.elsevier.com/locate/sse Short Communication Electron mobility in MOSFETs with ultrathin RTCVD silicon nitride/oxynitride stacked gate dielectrics K.J. Yang a,

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

The MOSFET Transistor

The MOSFET Transistor The MOSFET Transistor The basic active component on all silicon chips is the MOSFET Metal Oxide Semiconductor Field Effect Transistor Schematic symbol G Gate S Source D Drain The voltage on the gate controls

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation 1 Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation Vivek Joshi, Kanak Agarwal*, Dennis Sylvester, David Blaauw Electrical Engineering & Computer Science University of Michigan,

More information

CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor

CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor Study the characteristics of energy bands as a function of applied voltage in the metal oxide semiconductor structure known

More information

MOS (metal-oxidesemiconductor) 李 2003/12/19

MOS (metal-oxidesemiconductor) 李 2003/12/19 MOS (metal-oxidesemiconductor) 李 2003/12/19 Outline Structure Ideal MOS The surface depletion region Ideal MOS curves The SiO 2 -Si MOS diode (real case) Structure A basic MOS consisting of three layers.

More information

OLED display. Ying Cao

OLED display. Ying Cao OLED display Ying Cao Outline OLED basics OLED display A novel method of fabrication of flexible OLED display Potentials of OLED Suitable for thin, lightweight, printable displays Broad color range Good

More information

Statistical Models for Hot Electron Degradation in Nano-Scaled MOSFET Devices

Statistical Models for Hot Electron Degradation in Nano-Scaled MOSFET Devices 2006, 대한 산업공학회 추계학술대회 Session C3 : Statistical models Statistical Models for Hot Electron Degradation in Nano-Scaled MOSFET Devices Seong-joon Kim, Suk Joo Bae Dept. of Industrial Engineering, Hanyang

More information

Characteristics of blocking voltage for power 4H-SiC BJTs with mesa edge termination

Characteristics of blocking voltage for power 4H-SiC BJTs with mesa edge termination Vol. 31, No. 7 Journal of Semiconductors July 2010 Characteristics of blocking voltage for power 4H-SiC BJTs with mesa edge termination Zhang Qian( 张 倩 ), Zhang Yuming( 张 玉 明 ), and Zhang Yimen( 张 义 门

More information

Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting

Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting 3G Solar Technologies Multidisciplinary Workshop MRS Spring Meeting San Francisco, CA, 5 April 2010 Michael P.

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

An analytical gate tunneling current model for MOSFETs

An analytical gate tunneling current model for MOSFETs Физика и техника полупроводников, 2012, том 46, вып. 3 An analytical gate tunneling current model for MOSFETs Iman Abaspur Kazerouni, Seyed Ebrahim Hosseini Electrical and Computer Department, Sabzevar

More information

Highly Scalable NAND Flash Memory Cell Design Embracing Backside Charge Storage

Highly Scalable NAND Flash Memory Cell Design Embracing Backside Charge Storage JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.2.286 ISSN(Online) 2233-4866 Highly Scalable NAND Flash Memory Cell

More information

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach)

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach) CONTENTS Preface. Energy Band Theory.. Electron in a crystal... Two examples of electron behavior... Free electron...2. The particle-in-a-box approach..2. Energy bands of a crystal (intuitive approach)..3.

More information

Chapter 5. Second Edition ( 2001 McGraw-Hill) 5.6 Doped GaAs. Solution

Chapter 5. Second Edition ( 2001 McGraw-Hill) 5.6 Doped GaAs. Solution Chapter 5 5.6 Doped GaAs Consider the GaAs crystal at 300 K. a. Calculate the intrinsic conductivity and resistivity. Second Edition ( 2001 McGraw-Hill) b. In a sample containing only 10 15 cm -3 ionized

More information

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Vivek Subramanian Department of Electrical Engineering and Computer Sciences University of California, Berkeley RD83089901

More information

Use-it or Lose-it: Wearout and Lifetime in Future Chip-Multiprocessors

Use-it or Lose-it: Wearout and Lifetime in Future Chip-Multiprocessors Use-it or Lose-it: Wearout and Lifetime in Future Chip-Multiprocessors Hyungjun Kim, 1 Arseniy Vitkovsky, 2 Paul V. Gratz, 1 Vassos Soteriou 2 1 Department of Electrical and Computer Engineering, Texas

More information

Introduction to CMOS VLSI Design

Introduction to CMOS VLSI Design Introduction to CMOS VLSI esign Slides adapted from: N. Weste,. Harris, CMOS VLSI esign, Addison-Wesley, 3/e, 24 Introduction Integrated Circuits: many transistors on one chip Very Large Scale Integration

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors

Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors Engineering Practical Jeffrey Frederick Gold Fitzwilliam College University of Cambridge Lent 1997 FABRCATON AND CHARACTERZATON

More information

Introduction OLEDs OTFTs OPVC Summary. Organic Electronics. Felix Buth. Walter Schottky Institut, TU München. Joint Advanced Student School 2008

Introduction OLEDs OTFTs OPVC Summary. Organic Electronics. Felix Buth. Walter Schottky Institut, TU München. Joint Advanced Student School 2008 Felix Buth Joint Advanced Student School 2008 Outline 1 Introduction Difference organic/inorganic semiconductors From molecular orbitals to the molecular crystal 2 Organic Light Emitting Diodes Basic Principals

More information

High Open Circuit Voltage of MQW Amorphous Silicon Photovoltaic Structures

High Open Circuit Voltage of MQW Amorphous Silicon Photovoltaic Structures High Open Circuit Voltage of MQW Amorphous Silicon Photovoltaic Structures ARGYRIOS C. VARONIDES Physics and EE Department University of Scranton 800 Linden Street, Scranton PA, 18510 United States Abstract:

More information

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Outline Here we introduced () basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Circuit Logic Gate A logic gate is an elemantary building block

More information

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

Graphene a material for the future

Graphene a material for the future Graphene a material for the future by Olav Thorsen What is graphene? What is graphene? Simply put, it is a thin layer of pure carbon What is graphene? Simply put, it is a thin layer of pure carbon It has

More information

How to Design and Build a Building Network

How to Design and Build a Building Network Logo azienda/università BC1 Le tecnologie Elettroniche e Informatiche al servizio della gestione energetica Enrico Sangiorgi Workshop Diapositiva 1 BC1 inserire i propri riferimenti Nome e Cognome relatore

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

Field-Effect (FET) transistors

Field-Effect (FET) transistors Field-Effect (FET) transistors References: Hayes & Horowitz (pp 142-162 and 244-266), Rizzoni (chapters 8 & 9) In a field-effect transistor (FET), the width of a conducting channel in a semiconductor and,

More information

Lecture 8 MOSFET(I) MOSFET I-V CHARACTERISTICS

Lecture 8 MOSFET(I) MOSFET I-V CHARACTERISTICS Lecture 8 MOSFET(I) MOSFET I-V CHARACTERISTICS Outline 1. MOSFET: cross-section, layout, symbols 2. Qualitative operation 3. I-V characteristics Reading Assignment: Howe and Sodini, Chapter 4, Sections

More information

Observation of Long Transients in the Electrical Characterization of Thin Film BST Capacitors

Observation of Long Transients in the Electrical Characterization of Thin Film BST Capacitors Integrated Ferroelectrics, 53: 503 511, 2003 Copyright C Taylor & Francis Inc. ISSN: 1058-4587 print/ 1607-8489 online DOI: 10.1080/10584580390258651 Observation of Long Transients in the Electrical Characterization

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

Bob York. Transistor Basics - MOSFETs

Bob York. Transistor Basics - MOSFETs Bob York Transistor Basics - MOSFETs Transistors, Conceptually So far we have considered two-terminal devices that are described by a current-voltage relationship I=f(V Resistors: Capacitors: Inductors:

More information

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Wlodek Kurjanowicz DesignCon 2008 Sidense Corp 2008 Agenda Introduction: Why Embedded NVM? Embedded Memory Landscape Antifuse Memory evolution

More information

SMA5111 - Compound Semiconductors Lecture 2 - Metal-Semiconductor Junctions - Outline Introduction

SMA5111 - Compound Semiconductors Lecture 2 - Metal-Semiconductor Junctions - Outline Introduction SMA5111 - Compound Semiconductors Lecture 2 - Metal-Semiconductor Junctions - Outline Introduction Structure - What are we talking about? Behaviors: Ohmic, rectifying, neither Band picture in thermal equilibrium

More information

Data retention in irradiated FG memories

Data retention in irradiated FG memories Data retention in irradiated FG memories G. Cellere 1,2, L. Larcher 3,4, A. Paccagnella 1,2, A. Modelli 5, A. Candelori 4 1 DEI, Università di Padova, Padova, Italy 2 INFN, Padova, Italy 3 Università di

More information

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost Comparison study of FETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost David Fried, IBM Thomas Hoffmann, IMEC Bich-Yen Nguyen, SOITEC Sri Samavedam, Freescale Horacio Mendez, SOI Industry

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

Chapter 2 Sources of Variation

Chapter 2 Sources of Variation Chapter 2 Sources of Variation Variations in process, supply voltage and temperature (PVT) have always been an issue in Integrated Circuit (IC) Design. In digital circuits, PVT fluctuations affect the

More information

Solid State Detectors = Semi-Conductor based Detectors

Solid State Detectors = Semi-Conductor based Detectors Solid State Detectors = Semi-Conductor based Detectors Materials and their properties Energy bands and electronic structure Charge transport and conductivity Boundaries: the p-n junction Charge collection

More information

MOSFET DEVICE MODELING FOR ANALOG CIRCUITS DESIGN

MOSFET DEVICE MODELING FOR ANALOG CIRCUITS DESIGN MOSFET DEVICE MODELING FOR ANALOG CIRCUITS DESIGN Student name: Truong, Long Giang Student #: 970304580 Course: ECE1352F 1. INTRODUCTION The technological trend towards deep sub-micrometer dimensions,

More information

Lecture 9 - MOSFET (I) MOSFET I-V Characteristics. October 6, 2005

Lecture 9 - MOSFET (I) MOSFET I-V Characteristics. October 6, 2005 6.12 - Microelectronic Devices and Circuits - Fall 25 Lecture 9-1 Lecture 9 - MOSFET (I) MOSFET I-V Characteristics October 6, 25 Contents: 1. MOSFET: cross-section, layout, symbols 2. Qualitative operation

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

BJT Ebers-Moll Model and SPICE MOSFET model

BJT Ebers-Moll Model and SPICE MOSFET model Department of Electrical and Electronic Engineering mperial College London EE 2.3: Semiconductor Modelling in SPCE Course homepage: http://www.imperial.ac.uk/people/paul.mitcheson/teaching BJT Ebers-Moll

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-4, Issue-1 E-ISSN: 2347-2693 A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology Zahra

More information

Digital Integrated Circuit (IC) Layout and Design - Week 3, Lecture 5

Digital Integrated Circuit (IC) Layout and Design - Week 3, Lecture 5 igital Integrated Circuit (IC) Layout and esign - Week 3, Lecture 5! http://www.ee.ucr.edu/~rlake/ee134.html EE134 1 Reading and Prelab " Week 1 - Read Chapter 1 of text. " Week - Read Chapter of text.

More information

The effect of film thickness on physical properties of fluorine-doped indium oxide thin films

The effect of film thickness on physical properties of fluorine-doped indium oxide thin films Materials Science-Poland, Vol. 22, No. 2, 2004 The effect of film thickness on physical properties of fluorine-doped indium oxide thin films S. M. ROZATI *, T. GANJ Physics Department, University of Guilan,

More information

90nm e-page Flash for Machine to Machine Applications

90nm e-page Flash for Machine to Machine Applications 90nm e-page Flash for Machine to Machine Applications François Maugain, Jean Devin Microcontrollers, Memories & Secure MCUs Group 90nm e-page Flash for M2M applications Outline M2M Market Cycling Endurance

More information

Physics-of-Failure Based Approach for Predicting Life and Reliability of Electronics Components

Physics-of-Failure Based Approach for Predicting Life and Reliability of Electronics Components Physics-of-Failure Based Approach for Predicting Life and Reliability of Electronics Components P. V. Varde Research Reactor Services Division Abstract Enhancing functional performance while at the same

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

Electronic transport properties of nano-scale Si films: an ab initio study

Electronic transport properties of nano-scale Si films: an ab initio study Electronic transport properties of nano-scale Si films: an ab initio study Jesse Maassen, Youqi Ke, Ferdows Zahid and Hong Guo Department of Physics, McGill University, Montreal, Canada Motivation (of

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Technology Developments Towars Silicon Photonics Integration

Technology Developments Towars Silicon Photonics Integration Technology Developments Towars Silicon Photonics Integration Marco Romagnoli Advanced Technologies for Integrated Photonics, CNIT Venezia - November 23 th, 2012 Medium short reach interconnection Example:

More information

EDC Lesson 12: Transistor and FET Characteristics. 2008 EDCLesson12- ", Raj Kamal, 1

EDC Lesson 12: Transistor and FET Characteristics. 2008 EDCLesson12- , Raj Kamal, 1 EDC Lesson 12: Transistor and FET Characteristics Lesson-12: MOSFET (enhancement and depletion mode) Characteristics and Symbols 2008 EDCLesson12- ", Raj Kamal, 1 1. Metal Oxide Semiconductor Field Effect

More information

SEMICONDUCTOR I: Doping, semiconductor statistics (REF: Sze, McKelvey, and Kittel)

SEMICONDUCTOR I: Doping, semiconductor statistics (REF: Sze, McKelvey, and Kittel) SEMICONDUCTOR I: Doping, semiconductor statistics (REF: Sze, McKelvey, and Kittel) Introduction Based on known band structures of Si, Ge, and GaAs, we will begin to focus on specific properties of semiconductors,

More information

Semiconductors, diodes, transistors

Semiconductors, diodes, transistors Semiconductors, diodes, transistors (Horst Wahl, QuarkNet presentation, June 2001) Electrical conductivity! Energy bands in solids! Band structure and conductivity Semiconductors! Intrinsic semiconductors!

More information

Mesoscopic Structures for Microwave-THz Detection

Mesoscopic Structures for Microwave-THz Detection Vol. 113 (2008) ACTA PHYSICA POLONICA A No. 3 Proceedings of the 13th International Symposium UFPS, Vilnius, Lithuania 2007 Mesoscopic Structures for Microwave-THz Detection A. Sužiedėlis a,, S. Ašmontas

More information

ECE 410: VLSI Design Course Introduction

ECE 410: VLSI Design Course Introduction ECE 410: VLSI Design Course Introduction Professor Andrew Mason Michigan State University Spring 2008 ECE 410, Prof. A. Mason Lecture Notes Page i.1 Age of electronics microcontrollers, DSPs, and other

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

New materials on horizon for advanced logic technology in mobile era

New materials on horizon for advanced logic technology in mobile era New materials on horizon for advanced logic technology in mobile era source gate Kelin J. Kuhn, TED 2012 drain Franz Kreupl, IFX 2003 Hsinchu March 6, 2013 - Prof. Dr. Franz Kreupl 1 Outline Introduction

More information

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

Figure 1. Diode circuit model

Figure 1. Diode circuit model Semiconductor Devices Non-linear Devices Diodes Introduction. The diode is two terminal non linear device whose I-V characteristic besides exhibiting non-linear behavior is also polarity dependent. The

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

A Study on the Reliability of Metal Gate La2O3 Thin Film Stacked Structures

A Study on the Reliability of Metal Gate La2O3 Thin Film Stacked Structures . Page 1 of 8 Doctoral Thesis A Study on the Reliability of Metal Gate La2O3 Thin Film Stacked Structures (Summary of Doctoral Thesis) Joel Molina Reyes March 2007 Principal Supervisor: Professor Hiroshi

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing CINVESTAV-UNIDAD QUERETARO P.G. Mani-González and A. Herrera-Gomez gmani@qro.cinvestav.mx CINVESTAV 1 background

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

Chapter 5: Diffusion. 5.1 Steady-State Diffusion

Chapter 5: Diffusion. 5.1 Steady-State Diffusion : Diffusion Diffusion: the movement of particles in a solid from an area of high concentration to an area of low concentration, resulting in the uniform distribution of the substance Diffusion is process

More information

5V Tolerance Techniques for CoolRunner-II Devices

5V Tolerance Techniques for CoolRunner-II Devices Application Note: Coolunner-II CPLDs XAPP429 (v1.0) August 8, 2003 5V Tolerance Techniques for Summary This document describes several different methods for interfacing 5V signals to Coolunner - II devices.

More information

Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration

Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration M G Kibria, F Zhang, T H Lee, M J Kim and M M R Howlader Dept. Electrical and Computer Engineering,

More information

The study of structural and optical properties of TiO 2 :Tb thin films

The study of structural and optical properties of TiO 2 :Tb thin films Optica Applicata, Vol. XXXVII, No. 4, 2007 The study of structural and optical properties of TiO 2 :Tb thin films AGNIESZKA BORKOWSKA, JAROSLAW DOMARADZKI, DANUTA KACZMAREK, DAMIAN WOJCIESZAK Faculty of

More information

New Ferroelectric Material for Embedded FRAM LSIs

New Ferroelectric Material for Embedded FRAM LSIs New Ferroelectric Material for Embedded FRAM LSIs V Kenji Maruyama V Masao Kondo V Sushil K. Singh V Hiroshi Ishiwara (Manuscript received April 5, 2007) The strong growth of information network infrastructures

More information

Lecture 9 - MOSFET (I) MOSFET I-V Characteristics. March 6, 2003

Lecture 9 - MOSFET (I) MOSFET I-V Characteristics. March 6, 2003 6.12 - Microelectronic Devices and Circuits - Spring 23 Lecture 9-1 Lecture 9 - MOSFET (I) MOSFET I-V Characteristics March 6, 23 Contents: 1. MOSFET: cross-section, layout, symbols 2. Qualitative operation

More information

AN3022. Establishing the Minimum Reverse Bias for a PIN Diode in a High-Power Switch. 1. Introduction. Rev. V2

AN3022. Establishing the Minimum Reverse Bias for a PIN Diode in a High-Power Switch. 1. Introduction. Rev. V2 Abstract - An important circuit design parameter in a high-power p-i-n diode application is the selection of an appropriate applied dc reverse bias voltage. Until now, this important circuit parameter

More information

Dose enhancement near metal electrodes in diamond X- ray detectors. A. Lohstroh*, and D. Alamoudi

Dose enhancement near metal electrodes in diamond X- ray detectors. A. Lohstroh*, and D. Alamoudi Dose enhancement near metal electrodes in diamond X- ray detectors Acknowledgements Surrey University: P.J. Sellin M. Abd-El Rahman P. Veeramani H. Al-Barakaty F. Schirru Mechanical Workshop A. Lohstroh*,

More information

Tobias Märkl. November 16, 2009

Tobias Märkl. November 16, 2009 ,, Tobias Märkl to 1/f November 16, 2009 1 / 33 Content 1 duction to of Statistical Comparison to Other Types of Noise of of 2 Random duction to Random General of, to 1/f 3 4 2 / 33 , to 1/f 3 / 33 What

More information

Simulation of Gate Leakage Currents in UTB MOSFETs and Nanowires Andreas Schenk ETH Zurich

Simulation of Gate Leakage Currents in UTB MOSFETs and Nanowires Andreas Schenk ETH Zurich Simulation of Gate Leakage Currents in UTB MOSFETs and Nanowires Andreas Schenk ETH Zurich Outline Introduction Simulation approaches EMA-based methods Ab-initio methods Model calibration using capacitors

More information

Chapter Outline. Diffusion - how do atoms move through solids?

Chapter Outline. Diffusion - how do atoms move through solids? Chapter Outline iffusion - how do atoms move through solids? iffusion mechanisms Vacancy diffusion Interstitial diffusion Impurities The mathematics of diffusion Steady-state diffusion (Fick s first law)

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

A PHYSICAL MODEL FOR MOSFET OUTPUT RESISTANCE. by J. H. Huang, 2. H. Liu, M. C. Jeng, P. K. KO, C. Hu. Memorandum No.

A PHYSICAL MODEL FOR MOSFET OUTPUT RESISTANCE. by J. H. Huang, 2. H. Liu, M. C. Jeng, P. K. KO, C. Hu. Memorandum No. A PHYSICAL MODEL FOR MOSFET OUTPUT RESISTANCE by J. H. Huang, 2. H. Liu, M. C. Jeng, P. K. KO, C. Hu Memorandum No. UCB/ERL M93/56 21 July 1993 A PHYSICAL MODEL FOR MOSFET OUTPUT RESISTANCE by J. H. Huang,

More information

SPACE CHARGE MEASUREMENTS IN XLPE INSULATED MID VOLTAGE CABLE: CORRELATION WITH CABLE PERFORMANCE

SPACE CHARGE MEASUREMENTS IN XLPE INSULATED MID VOLTAGE CABLE: CORRELATION WITH CABLE PERFORMANCE SPACE CHARGE MEASUREMENTS IN XLPE INSULATED MID VOLTAGE CABLE: CORRELATION WITH CABLE PERFORMANCE Idalberto TAMAYO, Univ. Politècnica de Catalunya (ETSEIAT), (Spain), jose.antonio.diego@upc.edu Jordi ÒRRIT,

More information

Composite Electromagnetic Wave Absorber Made of Permalloy or Sendust and Effect of Sendust Particle Size on Absorption Characteristics

Composite Electromagnetic Wave Absorber Made of Permalloy or Sendust and Effect of Sendust Particle Size on Absorption Characteristics PIERS ONLINE, VOL. 4, NO. 8, 2008 846 Composite Electromagnetic Wave Absorber Made of Permalloy or Sendust and Effect of Sendust Particle Size on Absorption Characteristics K. Sakai, Y. Wada, and S. Yoshikado

More information

Diode Circuits. Operating in the Reverse Breakdown region. (Zener Diode)

Diode Circuits. Operating in the Reverse Breakdown region. (Zener Diode) Diode Circuits Operating in the Reverse Breakdown region. (Zener Diode) In may applications, operation in the reverse breakdown region is highly desirable. The reverse breakdown voltage is relatively insensitive

More information

CO2005: Electronics I (FET) Electronics I, Neamen 3th Ed. 1

CO2005: Electronics I (FET) Electronics I, Neamen 3th Ed. 1 CO2005: Electronics I The Field-Effect Transistor (FET) Electronics I, Neamen 3th Ed. 1 MOSFET The metal-oxide-semiconductor field-effect transistor (MOSFET) becomes a practical reality in the 1970s. The

More information

North American Stainless

North American Stainless North American Stainless Long Products Stainless Steel Grade Sheet 2205 UNS S2205 EN 1.4462 2304 UNS S2304 EN 1.4362 INTRODUCTION Types 2205 and 2304 are duplex stainless steel grades with a microstructure,

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

High power picosecond lasers enable higher efficiency solar cells.

High power picosecond lasers enable higher efficiency solar cells. White Paper High power picosecond lasers enable higher efficiency solar cells. The combination of high peak power and short wavelength of the latest industrial grade Talisker laser enables higher efficiency

More information

3.3 kv IGBT Modules. Takeharu Koga Yasuhiko Arita Takatoshi Kobayashi. 1. Introduction. 2. Specifications of 3.3 kv IGBT Module

3.3 kv IGBT Modules. Takeharu Koga Yasuhiko Arita Takatoshi Kobayashi. 1. Introduction. 2. Specifications of 3.3 kv IGBT Module 3.3 kv IGBT Modules Takeharu Koga Yasuhiko Arita Takatoshi Kobayashi A B S T R A C T Fuji Electric has developed a 3.3 kv-1.2 ka IGBT module in response to market needs for inverters suitable for industrial

More information

MOS Capacitor CHAPTER OBJECTIVES

MOS Capacitor CHAPTER OBJECTIVES Hu_ch05v3.fm Page 157 Friday, February 13, 2009 2:38 PM 5 MOS Capacitor CHAPTER OBJECTIVES This chapter builds a deep understanding of the modern MOS (metal oxide semiconductor) structures. The key topics

More information