A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

Size: px
Start display at page:

Download "A Plasma Doping Process for 3D FinFET Source/ Drain Extensions"

Transcription

1 A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Varian Semiconductor Equipment Silicon Systems Group

2 Outline A Plasma Doping Process for 3D FinFET Source/ Drain Extensions 1. Plasma Doping for 3D FinFETs 2. Metrology for FinFET Doping Characterization 3. Doping Responses and Fin conductance 4. Summary and Acknowledgements 2

3 3D FinFET SDE Doping Challenges 3D FinFET for device 20nm beyond Key Challenges: Conformal Doping No Fin erosion No residual defect Low leakage Beamline: Shadowing Deposition and Thermal Drive in Highly Conformal Not PR compatible Cap layer Title angle of implantation <10 deg Plasma Doping: Good conformality PR compatible 2 X + X X + PLAD implant mode offers a simplified, photoresist compatible process 3

4 VIISta PLAD Advantages for Advanced Devices Production proven technology across memory, logic and CIS process spaces Plasma Doping Advantages: Pulsed DC bias allows for precision doping Sidewall doping for advanced 3D devices: FinFET s, VNAND, and CIS Independent control of RF plasma generation and DC bias balances deposition and implant Faraday dosimetry provides precision process tracking High Density Low Energy RF Plasma Shallow Sidewall doping doping to reduce for advanced contact3d resistance devices: and FinFET s, passivate VNAND, surfaces CIS Pulsed DC Bias High dose implants to modify material properties: workfunction, etching rate, & conductivity. All future devices are 3D PLAD can modify properties of vertical sidewalls 4

5 Benefits and Challenges for PLAD FinFET Doping 1: DIRECT IMPLANT 3: REFLECTED ION IMPLANT 2: DEPOSITION and KNOCK-IN 4: SPUTTERING Various Process parameters: Power, Pressure, gas mixture ratio Energy, Dose, PW, Frequency 2 Si Fin X Si Fin X X + Multiple Mechanisms: Direct implant Deposition and knock in Reflected implant Sputtering/Etching Wafer Results: Conformality Minimize fin erosion Eliminate residual defects Doping of Si Fin structures is a driven by multiple mechanisms and competing effects 5 Ion Implant Technology, 2014

6 Outline A Plasma Doping Process for 3D FinFET Source/ Drain Extensions 1. Plasma Doping for 3D FinFETs 2. Metrology for FinFET Doping Characterization 3. Doping Responses and Fin conductance 4. Summary and Acknowledgements 2

7 Dopant Characterization Metrology 1.5D SIMS 1. Lower detection limit 2. Results can be quantified 3. Average over number of fins 4. No lateral resolution EDS mapping/eds line scans 1. 1% detection limit 2. Lateral resolution 3. Hard to quantify A B C D E Fin resistor: active dopant A B C D E Each metrology has its limitations and the characterization results need to be interpreted carefully

8 Outline A Plasma Doping Process for 3D FinFET Source/ Drain Extensions 1. Plasma Doping for 3D FinFETs 2. Metrology for FinFET Doping Characterization 3. Doping Responses and Fin conductance 4. Summary and Acknowledgements 2

9 Process Flow and Structure of Samples PLAD Implant Approach Start Wafer PLAD Implant + Passivation Si SPM clean Anneal Post DHF Applied Materials Maydan Center Fin Structure Fin height: ~130nm Fin width: ~50nm Fin pitch: ~110nm PLAD implant mode offers a simplified, photoresist compatible process 9 Ion Implant Technology, 2014

10 Implant Approach: Energy and Dose Tuning of Fin Doping B D XTEM 1.5D SIMS SIMS As (atm/cm3) 1.E+21 1.E+20 1.E Depth (nm) 2D EDS B D - A: Low Energy, Low Dose - B: Low Energy, High Dose - C: High energy, Low Dose - D: High Energy, High Dose Sidewall Dose (at/cm2) 1.0E E E E E+14 A B C D Tunable Fin doping is demonstrated by energy and dose control A B C D ~50% increase in Average Sidewall Dose Fin height: ~130nm, Fin width: ~50nm, Fin pitch: ~110nm 10

11 Implant Approach: Plasma Process Parameter Tuning of Fin Doping XTEM DR1 DR3 - DR1: Dose Rate 1 - DR2: Dose Rate 2 - DR3: Dose Rate 3 SIMS As (Atoms/cm3) Sidewall Dose (at/cm2) 1E+21 1E+20 1E E E E E E D SIMS Depth (nm) DR1 DR2 DR3 Fin doping can be further optimized by plasma parameter tuning DR1 DR2 DR3 DR1 DR3 2D EDS ~36% increase in average sidewall Dose Fin height: ~130nm, Fin width: ~50nm, Fin pitch: ~110nm 11

12 Implant Approach: EDS Verification of Dopant into Fin Sidewall Si Fin Si or O composition (%) Si Fin SiO EDS Line Scan Site Fin height: ~130nm, Fin width: ~50nm, Fin pitch: ~110nm As Composition (%) O Si As EDS as-implanted line scan demonstrates As doping into fin sidewall 12

13 Outline A Plasma Doping Process for 3D FinFET Source/ Drain Extensions 1. Plasma Doping for 3D FinFETs 2. Metrology for FinFET Doping Characterization 3. Doping Responses and Fin conductance 4. Summary and Acknowledgements 2

14 Summary Plasma doping of Fin structures by an implant based approach has been demonstrated The application of plasma doping into logic device technology is rapidly accelerating Efforts to enhance fundamental understanding and to enable predictive approaches are in progress As 3D transistor technology continues to be implemented, PLAD will be required for doping and material property modification 14

15 Acknowledgements Appreciation is extended to Alexander Pagdanganan, Martin Hilkene, and Matthew Castle for providing the poly-silicon deposition and process flows at the Maydan Center We would also like to thank Peter Ryan for his support of the plasma doped sample preparation 15

16

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost Comparison study of FETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost David Fried, IBM Thomas Hoffmann, IMEC Bich-Yen Nguyen, SOITEC Sri Samavedam, Freescale Horacio Mendez, SOI Industry

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing CINVESTAV-UNIDAD QUERETARO P.G. Mani-González and A. Herrera-Gomez gmani@qro.cinvestav.mx CINVESTAV 1 background

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS Prof. Dr. João Antonio Martino Professor Titular Departamento de Engenharia de Sistemas Eletrônicos Escola Politécnica da Universidade

More information

Secondary Ion Mass Spectrometry

Secondary Ion Mass Spectrometry Secondary Ion Mass Spectrometry A PRACTICAL HANDBOOK FOR DEPTH PROFILING AND BULK IMPURITY ANALYSIS R. G. Wilson Hughes Research Laboratories Malibu, California F. A. Stevie AT&T Bell Laboratories Allentown,

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

INTRODUCTION TO ION IMPLANTATION Dr. Lynn Fuller, Dr. Renan Turkman Dr Robert Pearson

INTRODUCTION TO ION IMPLANTATION Dr. Lynn Fuller, Dr. Renan Turkman Dr Robert Pearson Ion Implantation ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING INTRODUCTION TO ION IMPLANTATION Dr. Lynn Fuller, Dr. Renan Turkman Dr Robert Pearson Webpage: http://people.rit.edu/lffeee

More information

Principles of Ion Implant

Principles of Ion Implant Principles of Ion Implant Generation of ions dopant gas containing desired species BF 3, B 2 H 6, PH 3, AsH 3, AsF 5 plasma provides positive ions (B 11 ) +, BF 2+, (P 31 ) +, (P 31 ) ++ Ion Extraction

More information

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

IBS - Ion Beam Services

IBS - Ion Beam Services IBS - Ion Beam Services Profile Technologies Devices & sensor fabricat ion Participation to R&D programs Researched partnership Présentation activité composant 1 Profile : Products and services Product

More information

Our Embedded Dream of the Invisible Future

Our Embedded Dream of the Invisible Future Our Embedded Dream of the Invisible Future Since the invention of semiconductor chips, the evolution of mankind s culture, society and lifestyle has accelerated at a pace never before experienced. Information

More information

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation 1 Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation Vivek Joshi, Kanak Agarwal*, Dennis Sylvester, David Blaauw Electrical Engineering & Computer Science University of Michigan,

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets.

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets. INF4420 Layout and CMOS processing technology Spring 2012 1 / 76 Outline CMOS Fabrication overview Design rules Layout of passive and active componets Packaging 2 / 76 Introduction As circuit designers

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

AC coupled pitch adapters for silicon strip detectors

AC coupled pitch adapters for silicon strip detectors AC coupled pitch adapters for silicon strip detectors J. Härkönen1), E. Tuovinen1), P. Luukka1), T. Mäenpää1), E. Tuovinen1), E. Tuominen1), Y. Gotra2), L. Spiegel2) Helsinki Institute of Physics, Finland

More information

How To Implant Anneal Ion Beam

How To Implant Anneal Ion Beam ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING MEMS Ion Implant Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology

More information

VLSI Fabrication Process

VLSI Fabrication Process VLSI Fabrication Process Om prakash 5 th sem ASCT, Bhopal omprakashsony@gmail.com Manisha Kumari 5 th sem ASCT, Bhopal Manisha2686@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This

More information

MOS (metal-oxidesemiconductor) 李 2003/12/19

MOS (metal-oxidesemiconductor) 李 2003/12/19 MOS (metal-oxidesemiconductor) 李 2003/12/19 Outline Structure Ideal MOS The surface depletion region Ideal MOS curves The SiO 2 -Si MOS diode (real case) Structure A basic MOS consisting of three layers.

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

High power picosecond lasers enable higher efficiency solar cells.

High power picosecond lasers enable higher efficiency solar cells. White Paper High power picosecond lasers enable higher efficiency solar cells. The combination of high peak power and short wavelength of the latest industrial grade Talisker laser enables higher efficiency

More information

Nanotechnologies for the Integrated Circuits

Nanotechnologies for the Integrated Circuits Nanotechnologies for the Integrated Circuits September 23, 2015 Dr. Bertrand Cambou Professor of Practice NAU, Cybersecurity School of Informatics, Computing, and Cyber-Systems Agenda The Market Silicon

More information

Approaches for Implementation of Virtual Metrology and Predictive Maintenance into Existing Fab Systems

Approaches for Implementation of Virtual Metrology and Predictive Maintenance into Existing Fab Systems Workshop - Statistical methods applied in microelectronics 13. June 2011, Catholic University of Milan, Milan, Italy Approaches for Implementation of Virtual Metrology and Predictive Maintenance into Existing

More information

Ultra-High Density Phase-Change Storage and Memory

Ultra-High Density Phase-Change Storage and Memory Ultra-High Density Phase-Change Storage and Memory by Egill Skúlason Heated AFM Probe used to Change the Phase Presentation for Oral Examination 30 th of May 2006 Modern Physics, DTU Phase-Change Material

More information

Defect Engineering in Semiconductors

Defect Engineering in Semiconductors Defect Engineering in Semiconductors Silicon Technology: problems of ultra large-scale l integration i Gettering in silicon Defect engineering in HgCdTe Near-surface defects in GaAs after diamond saw-cutting

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Reactive Sputtering Using a Dual-Anode Magnetron System

Reactive Sputtering Using a Dual-Anode Magnetron System Reactive Sputtering Using a Dual-Anode Magnetron System A. Belkind and Z. Zhao, Stevens Institute of Technology, Hoboken, NJ; and D. Carter, G. McDonough, G. Roche, and R. Scholl, Advanced Energy Industries,

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

THIN FILM MATERIALS TECHNOLOGY

THIN FILM MATERIALS TECHNOLOGY THIN FILM MATERIALS TECHNOLOGY Sputtering of Compound Materials by Kiyotaka Wasa Yokohama City University Yokohama, Japan Makoto Kitabatake Matsushita Electric Industrial Co., Ltd. Kyoto, Japan Hideaki

More information

3D SCANNERTM. 3D Scanning Comes Full Circle. s u n. Your Most Valuable QA and Dosimetry Tools A / B / C. The 3D SCANNER Advantage

3D SCANNERTM. 3D Scanning Comes Full Circle. s u n. Your Most Valuable QA and Dosimetry Tools A / B / C. The 3D SCANNER Advantage 3D SCANNERTM 3D Scanning Comes Full Circle Relative 3D Dosimetry offering the easiest setup, most objectivity, and best consistency available The 3D SCANNER Advantage Advanced Design Ring and diameter

More information

III. MEMS Projection Helvetica 20 Displays

III. MEMS Projection Helvetica 20 Displays Helvetica 26 Helvetica 22 III. MEMS Projection Displays Micro Mirror Projection - Texas Instruments DMD - Daewoo Elec. AMA Grating Light Valve - Silicon Light Machines Image Projection Color Synthesis

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

The MOSFET Transistor

The MOSFET Transistor The MOSFET Transistor The basic active component on all silicon chips is the MOSFET Metal Oxide Semiconductor Field Effect Transistor Schematic symbol G Gate S Source D Drain The voltage on the gate controls

More information

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach)

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach) CONTENTS Preface. Energy Band Theory.. Electron in a crystal... Two examples of electron behavior... Free electron...2. The particle-in-a-box approach..2. Energy bands of a crystal (intuitive approach)..3.

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 16, No. 2, pp. 90-94, April 25, 2015 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2015.16.2.90 OAK Central:

More information

This paper describes Digital Equipment Corporation Semiconductor Division s

This paper describes Digital Equipment Corporation Semiconductor Division s WHITEPAPER By Edd Hanson and Heather Benson-Woodward of Digital Semiconductor Michael Bonner of Advanced Energy Industries, Inc. This paper describes Digital Equipment Corporation Semiconductor Division

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

Case Study 2: Digital Micromirror Devices (DMD) Optical MEMS

Case Study 2: Digital Micromirror Devices (DMD) Optical MEMS Case Study : Digital Micromirror Devices (DMD) Chapter of Senturia A MEMS-based projection display, Van Kessel, P.F.; Hornbeck, L.J.; Meier, R.E.; Douglass, M.R., Proc. IEEE, Vol. 86 pp.1687-174 1998 http://www.dlp.com/

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

MEMS devices application based testing

MEMS devices application based testing MEMS devices application based testing CEEES Seminar 18-10-2012 RDM Campus Rotterdam NL by Kees Revenberg MASER Engineering Enschede NL Outline Introduction MEMS classification Sensing & Actuating Manufacturing

More information

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Songlin Xu, a Ce Qin, Li Diao, Dave Gilbert, Li Hou, and Allan Wiesnoski Mattson Technology, Inc., Fremont,

More information

APPLICATION NOTES: Dimming InGaN LED

APPLICATION NOTES: Dimming InGaN LED APPLICATION NOTES: Dimming InGaN LED Introduction: Indium gallium nitride (InGaN, In x Ga 1-x N) is a semiconductor material made of a mixture of gallium nitride (GaN) and indium nitride (InN). Indium

More information

(Amplifying) Photo Detectors: Avalanche Photodiodes Silicon Photomultiplier

(Amplifying) Photo Detectors: Avalanche Photodiodes Silicon Photomultiplier (Amplifying) Photo Detectors: Avalanche Photodiodes Silicon Photomultiplier (no PiN and pinned Diodes) Peter Fischer P. Fischer, ziti, Uni Heidelberg, Seite 1 Overview Reminder: Classical Photomultiplier

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication Total Management from Rule Definition to Silicon Fabrication Soichi Inoue, Toshiya Kotani, Shigeki Nojima, Satoshi Tanaka, Kohji Hashimoto, and Ichiro Mori & Manufacturing Engineering Center, Toshiba Corporation,

More information

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Introduction............................. 2. Electrostatic Charging of Samples in Photoemission Experiments............................

More information

T.M.M. TEKNIKER MICROMACHINING

T.M.M. TEKNIKER MICROMACHINING T.M.M. TEKNIKER MICROMACHINING Micro and Nanotechnology Dapartment FUNDACION TEKNIKER Avda. Otaola. 20 Tel. +34 943 206744 Fax. +34 943 202757 20600 Eibar http://www.tekniker.es TMM FACILITIES -Clean Room

More information

LOR and PMGI Resists. Figure 1: SFG2 w/tok Resist 80 nm line. Figure 2: PMGI w/spr 660 0.6 3 um line. Figure 3: LOR 30B w/spr 220 4.

LOR and PMGI Resists. Figure 1: SFG2 w/tok Resist 80 nm line. Figure 2: PMGI w/spr 660 0.6 3 um line. Figure 3: LOR 30B w/spr 220 4. LOR and PMGI Resists DESCRIPTION LOR and PMGI resists are based on polydimethylglutarimide. Its unique properties enable LOR and PMGI products to perform exceptionally well when used, either as a sacrificial

More information

IMA. Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer Bauelemente. Sören Irmer

IMA. Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer Bauelemente. Sören Irmer Sören Irmer Workshop "Oberflächentechnologie mit Plasma- und Ionenstrahlprozessen - Mühlleithen, 02. März 2004 Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer

More information

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016 Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms Christophe Maleville Substrate readiness 3 lenses view SOI Consortium C1 - Restricted Conference Tokyo 2016

More information

UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES

UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES Ex parte ELIZABETH G. PAVEL, MARK N. KAWAGUCHI, and JAMES S. PAPANU Appeal 2009-002463 Technology Center 1700

More information

CRYSTAL DEFECTS: Point defects

CRYSTAL DEFECTS: Point defects CRYSTAL DEFECTS: Point defects Figure 10.15. Point defects. (a) Substitutional impurity. (b) Interstitial impurity. (c) Lattice vacancy. (d) Frenkeltype defect. 9 10/11/004 Ettore Vittone- Fisica dei Semiconduttori

More information

Process simulation. Maria Concetta Allia

Process simulation. Maria Concetta Allia simulation Athena overview Athena is a process simulator that provides general capabilities for numerical, physically-based, two-dimensional simulation of processes used in semiconductor industry (ion

More information

Application Notes FREQUENCY LINEAR TUNING VARACTORS FREQUENCY LINEAR TUNING VARACTORS THE DEFINITION OF S (RELATIVE SENSITIVITY)

Application Notes FREQUENCY LINEAR TUNING VARACTORS FREQUENCY LINEAR TUNING VARACTORS THE DEFINITION OF S (RELATIVE SENSITIVITY) FREQUENY LINEAR TUNING VARATORS FREQUENY LINEAR TUNING VARATORS For several decades variable capacitance diodes (varactors) have been used as tuning capacitors in high frequency circuits. Most of these

More information

1700V Bi-Mode Insulated Gate Transistor (BIGT) on Thin Wafer Technology

1700V Bi-Mode Insulated Gate Transistor (BIGT) on Thin Wafer Technology 1700V Bi-Mode Insulated Gate Transistor (BIGT) on Thin Wafer Technology Munaf Rahimo, Jan Vobecky, Chiara Corvasce ISPS, September 2010, Prague, Czech Republic Copyright [2010] IEEE. Reprinted from the

More information

Laser drilling up to15,000 holes/sec in silicon wafer for PV solar cells

Laser drilling up to15,000 holes/sec in silicon wafer for PV solar cells Laser drilling up to15,000 holes/sec in silicon wafer for PV solar cells Rahul Patwa* a, Hans Herfurth a, Guenther Mueller b and Khan Bui b a Fraunhofer Center for Laser Technology, 48170 Port Street,

More information

Modification of Graphene Films by Laser-Generated High Energy Particles

Modification of Graphene Films by Laser-Generated High Energy Particles Modification of Graphene Films by Laser-Generated High Energy Particles Elena Stolyarova (Polyakova), Ph.D. ATF Program Advisory and ATF Users Meeting April 2-3, 2009, Berkner Hall, Room B, BNL Department

More information

Chapter 5: Diffusion. 5.1 Steady-State Diffusion

Chapter 5: Diffusion. 5.1 Steady-State Diffusion : Diffusion Diffusion: the movement of particles in a solid from an area of high concentration to an area of low concentration, resulting in the uniform distribution of the substance Diffusion is process

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment FAST SHIPPING AND DELIVERY TENS OF THOUSANDS OF IN-STOCK ITEMS EQUIPMENT DEMOS HUNDREDS OF MANUFACTURERS SUPPORTED

More information

Samsung 2bit 3D V-NAND technology

Samsung 2bit 3D V-NAND technology Samsung 2bit 3D V-NAND technology Gain more capacity, speed, endurance and power efficiency Traditional NAND technology cannot keep pace with growing data demands Introduction Data traffic continues to

More information

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob Chemical Sputtering von Kohlenstoff durch Wasserstoff W. Jacob Centre for Interdisciplinary Plasma Science Max-Planck-Institut für Plasmaphysik, 85748 Garching Content: Definitions: Chemical erosion, physical

More information

DOE Solar Energy Technologies Program Peer Review. Denver, Colorado April 17-19, 2007

DOE Solar Energy Technologies Program Peer Review. Denver, Colorado April 17-19, 2007 DOE Solar Energy Technologies Program Peer Review Evaluation of Nanocrystalline Silicon Thin Film by Near-Field Scanning Optical Microscopy AAT-2-31605-05 Magnus Wagener and George Rozgonyi North Carolina

More information

Development of certified reference material of thin film for thermal diffusivity

Development of certified reference material of thin film for thermal diffusivity Development of certified reference material of thin film for thermal diffusivity Takashi Yagi, Thermophysical properties section, NMIJ/AIST Joshua Martin MML, National Institute of Standards and Technology

More information

Solid State Detectors = Semi-Conductor based Detectors

Solid State Detectors = Semi-Conductor based Detectors Solid State Detectors = Semi-Conductor based Detectors Materials and their properties Energy bands and electronic structure Charge transport and conductivity Boundaries: the p-n junction Charge collection

More information

How To Make A Plasma Control System

How To Make A Plasma Control System XXII. Erfahrungsaustausch Mühlleiten 2015 Plasmaanalyse und Prozessoptimierung mittels spektroskopischem Plasmamonitoring in industriellen Anwendungen Swen Marke,, Lichtenau Thomas Schütte, Plasus GmbH,

More information

Mars Atmosphere and Volatile EvolutioN (MAVEN) Mission

Mars Atmosphere and Volatile EvolutioN (MAVEN) Mission Mars Atmosphere and Volatile EvolutioN (MAVEN) Mission MAVEN Science Community Workshop December 2, 2012 Particles and Fields Package Solar Energetic Particle Instrument (SEP) Davin Larson and the SEP

More information

How To Scale At 14 Nanomnemester

How To Scale At 14 Nanomnemester 14 nm Process Technology: Opening New Horizons Mark Bohr Intel Senior Fellow Logic Technology Development SPCS010 Agenda Introduction 2 nd Generation Tri-gate Transistor Logic Area Scaling Cost per Transistor

More information

Simultaneous data fitting in ARXPS

Simultaneous data fitting in ARXPS NOTE Please find the following more updated document Resolving overlapping peaks in ARXPS data: The effect of noise and fitting method. J. Muñoz-Flores, A. Herrera-Gomez, J. Electron Spectrosc. Relat.

More information

X-ray diffraction techniques for thin films

X-ray diffraction techniques for thin films X-ray diffraction techniques for thin films Rigaku Corporation Application Laboratory Takayuki Konya 1 Today s contents (PM) Introduction X-ray diffraction method Out-of-Plane In-Plane Pole figure Reciprocal

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

Micro-Power Generation

Micro-Power Generation Micro-Power Generation Elizabeth K. Reilly February 21, 2007 TAC-meeting 1 Energy Scavenging for Wireless Sensors Enabling Wireless Sensor Networks: Ambient energy source Piezoelectric transducer technology

More information

Class 18: Memories-DRAMs

Class 18: Memories-DRAMs Topics: 1. Introduction 2. Advantages and Disadvantages of DRAMs 3. Evolution of DRAMs 4. Evolution of DRAMs 5. Basics of DRAMs 6. Basics of DRAMs 7. Write Operation 8. SA-Normal Operation 9. SA-Read Operation

More information

Digital vs. Analogue Control Systems

Digital vs. Analogue Control Systems Digital vs. Analogue Control Systems Presented at the 2011 Annual Meeting of the American College of Medical Physics, Chattanooga, TN, May 1, 2011 Ivan A. Brezovich, PhD, Dept. of Rad Onc, Univ of Alabama

More information