Intel Q3GM ES 32 nm CPU (from Core i5 660)

Size: px
Start display at page:

Download "Intel Q3GM ES 32 nm CPU (from Core i5 660)"

Transcription

1 Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call Sales at Chipworks Richmond Road, Suite 500, Ottawa, ON K2H 5B7, Canada Tel: Fax:

2 Structural Analysis Table of Contents 1 Overview 1.1 List of Figures 1.2 List of Tables 1.3 Company Profile 1.4 Introduction 1.5 Device Summary 1.6 Process Summary 1.7 Brief Comparison to Intel 45 nm Process Technology 2 Device Overview 2.1 Package and Die 2.2 CPU (8PWSCA Die Markings) Die Features 3 Layout Feature Analysis 3.1 Overview 3.2 Selected Metallization and Via Layout Features 3.3 Selected Gate and Diffusion Level Layout Features 4 CPU (8PWSCA Die Markings) Die Process Analysis 4.1 General Structure 4.2 Dielectrics 4.3 Metals 4.4 Vias and Contacts 4.5 Transistor Overview 4.6 PMOS Transistors 4.7 NMOS Transistors 4.8 Selected Transistor Gate Metal Features 4.9 Isolation 4.10 Wells and Substrate 5 SRAM Analysis 5.1 Overview and Schematic 5.2 L3 Cache (High Density) SRAM Plan-View Analysis 5.3 L3 Cache (High Density) SRAM Cross-Sectional Analysis 5.4 Plan View L1 and L2 Cache SRAM Analysis

3 Structural Analysis 6 Materials Analysis 6.1 Overview 6.2 Dielectrics 6.3 Metals 6.4 PMOS Transistors 6.5 NMOS Transistors 7 Critical Dimensions 7.1 Die Utilization 7.2 Package, Die and Standard Logic Cell Size 7.3 Dielectrics 7.4 Metals 7.5 Vias and Contacts 7.6 Transistors 7.7 Isolation 7.8 Wells and Substrate 7.9 L3 Cache SRAM 8 References 9 Statement of Measurement Uncertainty and Scope Variation About Chipworks

4 Overview Overview 1.1 List of Figures 2 Device Overview Package Top Package Bottom Package Edge Package and Lid Package X-Ray Package X-Ray CPU Die Solder Bump Array CPU Die Photograph (8PWSCA Die Markings) PWSCA Die Markings PWSCA Die Markings (RDL Removed) PWSCA Die Photograph (RDL Removed) Metal 1 CPU Die Photograph Analysis Sites PIRCV (GPU) Die Photograph PIRCV (GPU) Die Markings CPU Die Corner A CPU Die Corner B CPU Die Corner C CPU Die Corner D SEM of CPU Die Corner (Passivation Removed) High Density Bump Via Windows (on CPU) CPU Die Utilization Analysis CPU Standard Logic Cell Size 3 Layout Feature Analysis Metal 6 and Via 6s Metal 5 and Via 5s Minimum Pitch Via 5s Metal 4 and Via 4s Metal 3 and Via 3s Metal 2 and Via 2s Metal 1 Pitch Metal 1 and Via 1s (A) Metal 1 and Via 1s (B) Metal 1 Routing and Via 1s Metal 1 Line End Uniformity (A) Metal 1 Line End Uniformity (B) Contacted Gate Pitch Multiple Width Gate Metal General Gate and Local Interconnect Layout Gate End Uniformity Diffusion Level Layout (A)

5 Overview Diffusion Level Layout (B) Diffusion Level Layout (C) 4 CPU (8PWSCA Die Markings) Die Process Analysis CPU Die (8PWSCA Die Markings) Thickness General Die Structure Die Edge Detail of Die Edge Passivation TEM of ILD ILD 1 ILD 7 Overview (Die Seal) TEM of ILD TEM of ILD TEM of ILD TEM of ILD TEM of ILD TEM of ILD TEM of ILD TEM of PMD Metal 9 Thickness TEM of Metal 9 Seed Layer TEM of Metal TEM of Metal 8 Liner TEM of Metal TEM of Metal TEM of Metal TEM of Metal TEM of Metal TEM of Metal TEM of Metal TEM of Metal 1 Liner Minimum Pitch Via 8s Via 8 Profile TEM of Via 8 Liner TEM Minimum Pitch Via 7s TEM of Via TEM of Via 6s TEM of Via TEM of Via TEM of Via TEM of Via TEM of Via TEM of Via 0 and Metal 0 Local Interconnect TEM of Metal 0 Local Interconnect Strap TEM Detail of Metal 0 Local Interconnect Strap TEM of Metal 0 Gate Contact

6 Overview TEM of Contact TEM of Contact to N + Diffusion TEM of Contact to P + Diffusion TEM of Contact Over STI TEM Detail of Contact Over STI PMOS Gate Diagram TEM Overview of PMOS Metal Gates TEM of PMOS Gates and SiGe Thickness TEM of PMOS Gates and SiGe Width Dark Field TEM of SiGe TEM of PMOS S/D Region TEM of PMOS Gate Dielectric and Work Function Metal TEM of PMOS Gate Fill TEM of Minimum Gate Length PMOS TEM of PMOS (A) TEM of PMOS (B) TEM of PMOS (C) TEM of Dummy Gate Metal (PMOS) TEM of NMOS Gate Diagram TEM Overview of NMOS Metal Gates TEM of NMOS Gate Dielectric and Work Function Metal TEM of NMOS Gate Fill Dark Field TEM of Wide NMOS Gate TEM of NMOS S/D Region TEM of Wide NMOS Gate TEM of Overview of NMOS Gates TEM of NMOS (A) TEM of NMOS (B) TEM of NMOS (C) TEM of NMOS Gate Wrap TEM of PMOS/NMOS Transition TEM of PMOS/NMOS Transition Detail Gate End-to-End Space TEM of Minimum Width STI TEM of Gate Metal Over STI SRP of Epi and Substrate SIMS of Substrate SCM of Substrate Die Edge SCM of N and P-Wells, Epi, and Substrate Logic Region SCM of N-Well/P-Well Boundary SCM of N-Well

7 Overview SRAM Analysis T SRAM Schematic L3 Cache SRAM at Metal L3 Cache SRAM at Metal L3 Cache SRAM at Metal L3 Cache SRAM at Gate Level L3 Cache SRAM at Gate Metal 0 TEM L3 Cache SRAM at Gate, Metal 0 Levels TEM L3 Cache SRAM at Gate, W/TiN Contact, and Diffusion Levels TEM L3 Cache SRAM at Diffusion Level L3 Cache NMOS Pull-Down and Access Transistors L3 Cache NMOS Pull-Down and Access Transistor L3 Cache PMOS Pull-Up Transistors L3 Cache PMOS Pull-Up Transistor PMOS Pull-Up Transistor Butt Contacts PMOS Pull-Up Transistor Butt Contact NMOS Pull-Down and Access Transistor Offset Contacts NMOS Pull-Down and Access Transistor Offset Contact L3 Cache Parallel to Metal Gate L3 Cache NMOS Pull-Down and PMOS Pull-Up Gate Widths L3 Cache NMOS Access Gate Widths L3 Cache NMOS Access Gate Widths with Bitline Contacts V SS and Bitline Contacts L1 Cache at Gate Level L2 Cache at Gate Level 6 Materials Analysis TEM-EDS Spectrum of Passivation TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of PMD TEM-EDS Spectrum of PMD 3

8 Overview TEM-EDS Spectrum of PMD TEM-EDS Spectrum of PMD TEM-EDS Spectrum of STI Fill TEM-EDS Spectrum of Metal 9 Bulk TEM-EDS Spectrum of Metal 9 Seed Layer TEM-EDS Spectrum of Metal 7 Liner Copper Dopant Survey Site A Copper Dopant Survey Site B Copper Dopant Survey Site C Copper Dopant Survey Site D Long Count TEM-EDS Spectrum of Metal 3 Body TEM-EDS Spectrum of Metal 1 Liner PMOS Gate Diagram TEM-EDS Spectrum of Gate Cap TEM-EDS Spectrum of Bulk Gate Fill TEM-EDS Spectrum of Barrier to NMOS Work Function Tuning Metal TEM-EDS Spectrum of NMOS Work Function Tuning Metal TEM-EDS Spectrum of Barrier to PMOS Work Function Metal TEM-EDS Spectrum of Etch Stop Liner (from PMOS) TEM-EDS Spectrum of PMOS Work Function Metal TEM-EDS Spectrum of High-k Gate Dielectric TEM-EELS of Gate Oxide TEM-EELS of PMOS Gate Stack TEM-EDS Spectrum of Channel Region TEM-EDS Spectrum of PMOS S/D esige TEM-EDS Line Scan Through esige TEM-EDS Spectrum of PMOS S/D Silicide NMOS Gate Diagram TEM-EDS Spectrum of Residual Etch Stop Liner in NMOS TEM-EDS of NMOS Gate Sidewall TEM-EELS Profile of NMOS Gate Stack TEM-EDS Spectrum of NMOS S/D Silicide TEM-EELS Profile Through NMOS S/D Region

9 Overview List of Tables 1 Overview Available Companion Reports on Intel 32 nm Device Identification PWSCA Die Summary PWSCA Die Process Summary Comparison of Intel 45 nm Process to 32 nm Process 2 Device Overview CPU Die Utilization CPU Package, Die, and Standard Logic Cell Size 4 CPU (8PWSCA Die Markings) Die Process Analysis Dielectric Thicknesses Metallization Vertical Dimensions Metallization Horizontal Dimensions Via and Contact Dimensions Transistor Horizontal Dimensions Transistor Vertical Dimensions STI Critical Dimensions Die Thickness and Well Depths 5 SRAM Analysis L3 Cache SRAM Transistor Dimensions 7 Critical Dimensions Die Utilization Package, Die, and Standard Logic Cell Size Dielectric Thicknesses Metallization Vertical Dimensions Metallization Horizontal Dimensions Via and Contact Dimensions Transistor Horizontal Dimensions Transistor Vertical Dimensions STI Critical Dimensions Die Thickness and Well Depths L3 Cache SRAM Transistor Dimensions

10 About Chipworks Chipworks is the recognized leader in reverse engineering and patent infringement analysis of semiconductors and electronic systems. The company s ability to analyze the circuitry and physical composition of these systems makes them a key partner in the success of the world s largest semiconductor and microelectronics companies. Intellectual property groups and their legal counsel trust Chipworks for success in patent licensing and litigation earning hundreds of millions of dollars in patent licenses, and saving as much in royalty payments. Research & Development and Product Management rely on Chipworks for success in new product design and launch, saving hundreds of millions of dollars in design, and earning even more through superior product design and faster launches. Contact Chipworks To find out more information on this report, or any other reports in our library, please contact Chipworks at: Chipworks 3685 Richmond Rd. Suite 500 Ottawa, Ontario K2H 5B7 Canada T: F: Web site: info@chipworks.com Please send any feedback to feedback@chipworks.com

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis August 17, 2006 Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Micron MT9D111 2 Megapixel CMOS Image Sensor Functional Analysis

Micron MT9D111 2 Megapixel CMOS Image Sensor Functional Analysis March 17, 2006 Micron MT9D111 2 Megapixel CMOS Image Sensor Functional Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Qualcomm QCA6174 802.11ac Wi-Fi 2x2 MIMO Combo SoC

Qualcomm QCA6174 802.11ac Wi-Fi 2x2 MIMO Combo SoC Qualcomm QCA6174 Basic Functional Analysis 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Basic Functional Analysis 2 Some of the information in this report

More information

Apple/AuthenTec TMDR92 iphone 5s, 6, and 6 Plus Fingerprint Sensor

Apple/AuthenTec TMDR92 iphone 5s, 6, and 6 Plus Fingerprint Sensor Apple/AuthenTec TMDR92 iphone 5s, 6, and 6 Plus Fingerprint Sensor 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613.829.0414 www.chipworks.com Some of the information in this report may

More information

InvenSense MPU-6515 6-Axis Accelerometer Gyroscope MEMS Motion Sensor

InvenSense MPU-6515 6-Axis Accelerometer Gyroscope MEMS Motion Sensor InvenSense MPU-6515 6-Axis Accelerometer Gyroscope MEMS Motion Sensor 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Some of the information in this report

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

Atmel. MXT224 Touch Screen Controller. Circuit Analysis of Charge Integrator, ADC, and I/O Blocks

Atmel. MXT224 Touch Screen Controller. Circuit Analysis of Charge Integrator, ADC, and I/O Blocks Atmel MXT224 Touch Screen Controller Circuit Analysis of Charge Integrator, ADC, and I/O Blocks For questions, comments, or more information about this report, or for any additional technical needs concerning

More information

NXP PN548 (65V10) Near Field Communication Module

NXP PN548 (65V10) Near Field Communication Module NXP PN548 (65V10) Module Basic Functional Analysis 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 chipworks.com Basic Functional Analysis 2 Some of the information in this

More information

AMD/ATI 215-0754009-00 RV840 Juniper GPU (from Radeon TM HD 5750 Graphics Card)

AMD/ATI 215-0754009-00 RV840 Juniper GPU (from Radeon TM HD 5750 Graphics Card) AMD/ATI 215-0754009-00 RV840 Juniper GPU (from Radeon TM HD 5750 Graphics Card) Circuit Analysis of GDDR5 I/O Drivers, Receivers, DLL, and PLL Table of Contents 3685 Richmond Road, Suite 500, Ottawa, ON

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Sample Project List. Software Reverse Engineering

Sample Project List. Software Reverse Engineering Sample Project List Software Reverse Engineering Automotive Computing Electronic power steering Embedded flash memory Inkjet printer software Laptop computers Laptop computers PC application software Software

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm STMicroelectronics Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI SOI Processes 130nm, 65nm SiGe 130nm CMP Process Portfolio from ST Moore s Law 130nm CMOS : HCMOS9GP More than Moore

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

The MOSFET Transistor

The MOSFET Transistor The MOSFET Transistor The basic active component on all silicon chips is the MOSFET Metal Oxide Semiconductor Field Effect Transistor Schematic symbol G Gate S Source D Drain The voltage on the gate controls

More information

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i Layout and Cross-section of an inverter Lecture 5 A Layout Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London V DD Q p A V i V o URL: www.ee.ic.ac.uk/pcheung/

More information

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com Introduction to Semiconductor Manufacturing Technology Chapter 1, Introduction Hong Xiao, Ph. D. hxiao89@hotmail.com Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objective After taking this

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Lab 3 Layout Using Virtuoso Layout XL (VXL)

Lab 3 Layout Using Virtuoso Layout XL (VXL) Lab 3 Layout Using Virtuoso Layout XL (VXL) This Lab will go over: 1. Creating layout with Virtuoso layout XL (VXL). 2. Transistor Chaining. 3. Creating Standard cell. 4. Manual Routing 5. Providing Substrate

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets.

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets. INF4420 Layout and CMOS processing technology Spring 2012 1 / 76 Outline CMOS Fabrication overview Design rules Layout of passive and active componets Packaging 2 / 76 Introduction As circuit designers

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost Comparison study of FETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost David Fried, IBM Thomas Hoffmann, IMEC Bich-Yen Nguyen, SOITEC Sri Samavedam, Freescale Horacio Mendez, SOI Industry

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

Introduction to CMOS VLSI Design

Introduction to CMOS VLSI Design Introduction to CMOS VLSI esign Slides adapted from: N. Weste,. Harris, CMOS VLSI esign, Addison-Wesley, 3/e, 24 Introduction Integrated Circuits: many transistors on one chip Very Large Scale Integration

More information

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST Flash Memories João Pela (52270), João Santos (55295) IST December 22, 2008 João Pela (52270), João Santos (55295) (IST) Flash Memories December 22, 2008 1 / 41 Layout 1 Introduction 2 How they work 3

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

The State-of-the-Art in IC Reverse Engineering

The State-of-the-Art in IC Reverse Engineering The State-of-the-Art in IC Reverse Engineering Randy Torrance and Dick James Chipworks Inc. 3685 Richmond Road, Ottawa, Ontario, Canada K2H 5B7 rtorrance@chipworks.com, djames@chipworks.com Abstract. This

More information

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation 1 Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation Vivek Joshi, Kanak Agarwal*, Dennis Sylvester, David Blaauw Electrical Engineering & Computer Science University of Michigan,

More information

Semiconductor Memories

Semiconductor Memories Semiconductor Memories Semiconductor memories array capable of storing large quantities of digital information are essential to all digital systems Maximum realizable data storage capacity of a single

More information

Sequential 4-bit Adder Design Report

Sequential 4-bit Adder Design Report UNIVERSITY OF WATERLOO Faculty of Engineering E&CE 438: Digital Integrated Circuits Sequential 4-bit Adder Design Report Prepared by: Ian Hung (ixxxxxx), 99XXXXXX Annette Lo (axxxxxx), 99XXXXXX Pamela

More information

Class 18: Memories-DRAMs

Class 18: Memories-DRAMs Topics: 1. Introduction 2. Advantages and Disadvantages of DRAMs 3. Evolution of DRAMs 4. Evolution of DRAMs 5. Basics of DRAMs 6. Basics of DRAMs 7. Write Operation 8. SA-Normal Operation 9. SA-Read Operation

More information

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Outline Here we introduced () basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Circuit Logic Gate A logic gate is an elemantary building block

More information

How To Scale At 14 Nanomnemester

How To Scale At 14 Nanomnemester 14 nm Process Technology: Opening New Horizons Mark Bohr Intel Senior Fellow Logic Technology Development SPCS010 Agenda Introduction 2 nd Generation Tri-gate Transistor Logic Area Scaling Cost per Transistor

More information

Bi-directional FlipFET TM MOSFETs for Cell Phone Battery Protection Circuits

Bi-directional FlipFET TM MOSFETs for Cell Phone Battery Protection Circuits Bi-directional FlipFET TM MOSFETs for Cell Phone Battery Protection Circuits As presented at PCIM 2001 Authors: *Mark Pavier, *Hazel Schofield, *Tim Sammon, **Aram Arzumanyan, **Ritu Sodhi, **Dan Kinzer

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

Preface xiii Introduction xv 1 Planning for surface mount design General electronic products 3 Dedicated service electronic products 3 High-reliability electronic products 4 Defining the environmental

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Sn-Cu Intermetallic Grain Morphology Related to Sn Layer Thickness

Sn-Cu Intermetallic Grain Morphology Related to Sn Layer Thickness Journal of ELECTRONIC MATERIALS, Vol. 36, No. 11, 2007 DOI: 10.1007/s11664-007-0270-x Ó 2007 TMS Special Issue Paper -Cu Intermetallic Grain Morphology Related to Layer Thickness MIN-HSIEN LU 1 and KER-CHANG

More information

ECE 410: VLSI Design Course Introduction

ECE 410: VLSI Design Course Introduction ECE 410: VLSI Design Course Introduction Professor Andrew Mason Michigan State University Spring 2008 ECE 410, Prof. A. Mason Lecture Notes Page i.1 Age of electronics microcontrollers, DSPs, and other

More information

Memory Basics. SRAM/DRAM Basics

Memory Basics. SRAM/DRAM Basics Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

Application Note AN-1080. DirectFET Technology Inspection Application Note

Application Note AN-1080. DirectFET Technology Inspection Application Note Application Note AN-1080 DirectFET Technology Inspection Application Note Table of Contents Page Inspection techniques... 3 Examples of good assembly... 3 Summary of rejection criteria... 4 Types of faults...

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

A Novel Flex Circuit Area-Array Interconnect System for a Catheter-Based Ultrasound Transducer

A Novel Flex Circuit Area-Array Interconnect System for a Catheter-Based Ultrasound Transducer Presented at IMAPS 2002 Denver, Colorado September 5, 2002 (Best of Session Award) A Novel Flex Circuit Area-Array Interconnect System for a Catheter-Based Ultrasound Transducer Jeff Strole*, Scott Corbett*,

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

Bob York. Transistor Basics - MOSFETs

Bob York. Transistor Basics - MOSFETs Bob York Transistor Basics - MOSFETs Transistors, Conceptually So far we have considered two-terminal devices that are described by a current-voltage relationship I=f(V Resistors: Capacitors: Inductors:

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

New materials on horizon for advanced logic technology in mobile era

New materials on horizon for advanced logic technology in mobile era New materials on horizon for advanced logic technology in mobile era source gate Kelin J. Kuhn, TED 2012 drain Franz Kreupl, IFX 2003 Hsinchu March 6, 2013 - Prof. Dr. Franz Kreupl 1 Outline Introduction

More information

AN3359 Application note

AN3359 Application note Application note Low cost PCB antenna for 2.4GHz radio: Meander design 1 Introduction This application note is dedicated to the STM32W108 product family from STMicroelectronics. One of the main reasons

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

CS250 VLSI Systems Design Lecture 8: Memory

CS250 VLSI Systems Design Lecture 8: Memory CS250 VLSI Systems esign Lecture 8: Memory John Wawrzynek, Krste Asanovic, with John Lazzaro and Yunsup Lee (TA) UC Berkeley Fall 2010 CMOS Bistable 1 0 Flip State 0 1 Cross-coupled inverters used to hold

More information

Following a paper that I wrote in 1965 and a speech that I gave in

Following a paper that I wrote in 1965 and a speech that I gave in C H A P T E R 7 MOORE S LAW AT 40 Gordon E. Moore Following a paper that I wrote in 1965 and a speech that I gave in 1975, the term Moore s law was coined as a name for a type of prediction that I had

More information

Layout of Multiple Cells

Layout of Multiple Cells Layout of Multiple Cells Beyond the primitive tier primitives add instances of primitives add additional transistors if necessary add substrate/well contacts (plugs) add additional polygons where needed

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

DirectFET TM - A Proprietary New Source Mounted Power Package for Board Mounted Power

DirectFET TM - A Proprietary New Source Mounted Power Package for Board Mounted Power TM - A Proprietary New Source Mounted Power Package for Board Mounted Power by Andrew Sawle, Martin Standing, Tim Sammon & Arthur Woodworth nternational Rectifier, Oxted, Surrey. England Abstract This

More information

TowerJazz High Performance SiGe BiCMOS processes

TowerJazz High Performance SiGe BiCMOS processes TowerJazz High Performance SiGe BiCMOS processes 2 Comprehensive Technology Portfolio 0.50 µm 0.35 µm 0.25 µm 0.18/0.16/0.152 µm 0.13 0.13µm BiCMOS, SiGe SiGe SiGe SiGe Power/BCD BCD BCD Power/BCD Image

More information

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach)

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach) CONTENTS Preface. Energy Band Theory.. Electron in a crystal... Two examples of electron behavior... Free electron...2. The particle-in-a-box approach..2. Energy bands of a crystal (intuitive approach)..3.

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas.

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas. Order this document by /D Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas Introduction Today s microcontroller applications are more sophisticated

More information

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M Miniaturizing Flexible Circuits for use in Medical Electronics Nate Kreutter 3M Drivers for Medical Miniaturization Market Drivers for Increased use of Medical Electronics Aging Population Early Detection

More information

Assembly of LPCC Packages AN-0001

Assembly of LPCC Packages AN-0001 Assembly of LPCC Packages AN-0001 Surface Mount Assembly and Handling of ANADIGICS LPCC Packages 1.0 Overview ANADIGICS power amplifiers are typically packaged in a Leadless Plastic Chip Carrier (LPCC)

More information

Electronic Circuit Construction:

Electronic Circuit Construction: Electronic Circuit Construction: Various methods are used for building electronic circuits. The method that you choose depends on a number of factors, including the resources available to you and whether

More information

Use of Carbon Nanoparticles for the Flexible Circuits Industry

Use of Carbon Nanoparticles for the Flexible Circuits Industry Use of Carbon Nanoparticles for the Flexible Circuits Industry Ying (Judy) Ding, Rich Retallick MacDermid, Inc. Waterbury, Connecticut Abstract FPC (Flexible Printed Circuit) has been growing tremendously

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Designing with High-Density BGA Packages for Altera Devices

Designing with High-Density BGA Packages for Altera Devices 2014.12.15 Designing with High-Density BGA Packages for Altera Devices AN-114 Subscribe As programmable logic devices (PLDs) increase in density and I/O pins, the demand for small packages and diverse

More information

Thin Is In, But Not Too Thin!

Thin Is In, But Not Too Thin! Thin Is In, But Not Too Thin! K.V. Ravi Crystal Solar, Inc. Abstract The trade-off between thick (~170 microns) silicon-based PV and thin (a few microns) film non-silicon and amorphous silicon PV is addressed

More information

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 ELECTRONICS AND COMMUNICATION ENGINEERING Course Title VLSI DESIGN Course Code 57035 Regulation R09 COURSE DESCRIPTION Course Structure

More information

Application Note: PCB Design By: Wei-Lung Ho

Application Note: PCB Design By: Wei-Lung Ho Application Note: PCB Design By: Wei-Lung Ho Introduction: A printed circuit board (PCB) electrically connects circuit components by routing conductive traces to conductive pads designed for specific components

More information

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat. Introduction to VLSI Programming TU/e course 2IN30 Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.Lab] Introduction to VLSI Programming Goals Create silicon (CMOS) awareness

More information

M. Jämsä 6.4.2011 PCB COST REDUCTIONS

M. Jämsä 6.4.2011 PCB COST REDUCTIONS M. Jämsä 6.4.2011 PCB COST REDUCTIONS There is an old joke about Commodity Manager of PCB (Printed Circuit Board) having one brain cell only, either occupied by the idea of price reduction or by the idea

More information

This application note is written for a reader that is familiar with Ethernet hardware design.

This application note is written for a reader that is familiar with Ethernet hardware design. AN18.6 SMSC Ethernet Physical Layer Layout Guidelines 1 Introduction 1.1 Audience 1.2 Overview SMSC Ethernet products are highly-integrated devices designed for 10 or 100 Mbps Ethernet systems. They are

More information

For the modifications listed below, the Qualification Approval tests in IEC 61215 and IEC 61646, shall be used as a guideline by the assessor:

For the modifications listed below, the Qualification Approval tests in IEC 61215 and IEC 61646, shall be used as a guideline by the assessor: Product or Process Modifications Requiring Limited CBTL Retesting to Maintain Certification This document sets forth a uniform approach to maintain the certification of products that have, or will, undergo

More information

PCB Layout for the Ethernet PHY Interface

PCB Layout for the Ethernet PHY Interface TN266 PCB Layout for the Ethernet PHY Interface Introduction This technical note provides reference design information to allow you to design your own PCB with an Ethernet connection. Figure 1 shows a

More information

Screen Printing For Crystalline Silicon Solar Cells

Screen Printing For Crystalline Silicon Solar Cells Printing For Crystalline Silicon Solar Cells Printing For Crystalline Silicon Solar Cells INTRODUCTION One of the most crucial steps for producing crystalline silicon solar cells is creating the grid of

More information

Lecture 8 MOSFET(I) MOSFET I-V CHARACTERISTICS

Lecture 8 MOSFET(I) MOSFET I-V CHARACTERISTICS Lecture 8 MOSFET(I) MOSFET I-V CHARACTERISTICS Outline 1. MOSFET: cross-section, layout, symbols 2. Qualitative operation 3. I-V characteristics Reading Assignment: Howe and Sodini, Chapter 4, Sections

More information

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc. Failure Analysis System for Submicron Semiconductor Devices 68 Failure Analysis System for Submicron Semiconductor Devices Munetoshi Fukui Yasuhiro Mitsui, Ph. D. Yasuhiko Nara Fumiko Yano, Ph. D. Takashi

More information

Flash Memory Jan Genoe KHLim Universitaire Campus, Gebouw B 3590 Diepenbeek Belgium

Flash Memory Jan Genoe KHLim Universitaire Campus, Gebouw B 3590 Diepenbeek Belgium Flash Memory Jan Genoe KHLim Universitaire Campus, Gebouw B 3590 Diepenbeek Belgium http://www.khlim.be/~jgenoe [1] http://en.wikipedia.org/wiki/flash_memory Geheugen 1 Product evolution Jan Genoe: Geheugen

More information

Titre: Required Information For Submitting Databases to TELEDYNE DALSA Design & Product Support.

Titre: Required Information For Submitting Databases to TELEDYNE DALSA Design & Product Support. Titre: Required Information For Submitting Databases to TELEDYNE DALSA Design & Product Support. Document : DES-0002.11 Création du document : December 22th, 2004 Bromont, Québec, Canada 2 DE 7 Database

More information

Riding silicon trends into our future

Riding silicon trends into our future Riding silicon trends into our future VLSI Design and Embedded Systems Conference, Bangalore, Jan 05 2015 Sunit Rikhi Vice President, Technology & Manufacturing Group General Manager, Intel Custom Foundry

More information

Ultra Reliable Embedded Computing

Ultra Reliable Embedded Computing A VersaLogic Focus on Reliability White Paper Ultra Reliable Embedded Computing The Clash between IPC Class 3 Requirements and Shrinking Geometries Contents Introduction...1 Case in Point: IPC Class 3

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Influence of Solder Reaction Across Solder Joints

Influence of Solder Reaction Across Solder Joints Influence of Solder Reaction Across Solder Joints Kejun Zeng FC BGA Packaging Development Semiconductor Packaging Development Texas Instruments, Inc. 6 th TRC Oct. 27-28, 2003 Austin, TX 1 Outline Introduction

More information

Webinar: HDI 2 Perfection in HDI Optimal use of the HDI technology Würth Elektronik Circuit Board Technology

Webinar: HDI 2 Perfection in HDI Optimal use of the HDI technology Würth Elektronik Circuit Board Technology Webinar: HDI 2 Perfection in HDI Optimal use of the HDI technology Würth Elektronik Circuit Board Technology www.we-online.de Seite 1 04.09.2013 Agenda Overview Webinar HDI 1 Route out a BGA Costs Roadmap

More information

EXPRESS PCB TUTORIAL Author: Lee Morey Revised: JE Feb 2015

EXPRESS PCB TUTORIAL Author: Lee Morey Revised: JE Feb 2015 EXPRESS PCB TUTORIAL Author: Lee Morey Revised: JE Feb 2015 Getting Started There are several resources for learning how to layout schematics and PCBs. And there are several popular commercial packages.

More information

NAND Flash FAQ. Eureka Technology. apn5_87. NAND Flash FAQ

NAND Flash FAQ. Eureka Technology. apn5_87. NAND Flash FAQ What is NAND Flash? What is the major difference between NAND Flash and other Memory? Structural differences between NAND Flash and NOR Flash What does NAND Flash controller do? How to send command to

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

Designing a Printed Circuit Board

Designing a Printed Circuit Board Designing a Printed Circuit Board Jamie Jacobs Design Team 4 4/03/2009 Abstract When the development stage of a circuit board is complete and working correctly, it is then necessary to take this breadboard

More information