Introduction to ALD Lab Dresden and Atomic Layer Deposition

Size: px
Start display at page:

Download "Introduction to ALD Lab Dresden and Atomic Layer Deposition"

Transcription

1 Introduction to ALD Lab Dresden and Atomic Layer Deposition PROGRAM

2 Introduction to ALD Lab Dresden and Atomic Layer Deposition PROGRAM 1. Introduction to Atomic Layer Deposition a. ALD Historical background / Hall of Fame b. ALD Basic principle and Growth Mechanism c. ALD Reactors / Productivity Improvements d. ALD Processes for Logic and Memory Applications 2. Introduction to ALD Lab Dresden a. Background, Mission/Vision, Competences, Applications, b. Fraunhofer CNT ALD Application Lab

3 1.a. ALD Historical background / Hall of fame Prof. V.B. Aleskovskii (left) Proposed the concept of the ALD in his Ph.D. thesis published in Prof. S.I. Kol tsov (right) First publications as Molecular Layering in the early 1960s from Leningrad Technological Institute (LTI). Dr. Tuomo Suntola (right) Demonstrated ALD 1974 at Instrumentarium Oy, Finland Patented ALD (ALE) 1977 T. Suntola, "Methods for producing compound thin films", US patent Sven Lindfors (left) Constructing ALD R&D and production tools since 1975 (Lohja Oy, Mikrokemia Oy, ASM Microchemistry Ltd., Picosun, )

4 1.b. ALD Basic principle and growth mechanism Atomic layer deposition (ALD) is a thin film deposition technique that is based on the sequential use of a gas phase chemical process. Reactant A Purge 1 Reactant B Purge 2 Reactions occur at the surface Self-limiting growth process: gas-surface reactions occur until surface is saturated. Films are very uniform, smooth Precise thickness control Exact stoichiometry control Low contamination Conformal films in high aspect ratio structures

5 1.b. ALD Basic principle and Growth Mechanism Example: Metal chloride / H 2 O process, e.g. AlCl 3 /H 2 O Al 2 O 3 T: C P: Torr Surface saturated by OH groups Inert gas N 2 or Ar

6 1.b. ALD Basic principle and Growth Mechanism 1) Reactant A : Metal precursor pulse Metal precursor is pulsed into the reactor, e.g. AlCl 3.

7 1.b. ALD Basic principle and Growth Mechanism 1) Reactant A : Metal precursor pulse The metal precursor reacts with surface -OH groups and chemisorbs to the surface, while leaving by-products to the gas phase, e.g. HCl.

8 1.b. ALD Basic principle and Growth Mechanism 1) Reactant A : Metal precursor pulse The pulse continues until the surface reaction is saturated.

9 1.b. ALD Basic principle and Growth Mechanism 2) Purge 1 The reactor is purged with an inert gas, e.g. N 2 or Ar, to remove by-products and unreacted metal precursor

10 1.b. ALD Basic principle and Growth Mechanism 2) Reactant B : Oxidizing precursor pulse The oxidant precursor (H 2 O) is pulsed into the reactor and reacts with the chemisorbed metal precursor leaving by-products in the gas phase (HCl).

11 1.b. ALD Basic principle and Growth Mechanism 2) Reactant B : Oxidizing precursor pulse The pulse continues until the surface reaction is saturated. Common oxidant precursors: H 2 O, H 2 O 2, N 2 O O 3, O 2 +,...

12 1.b. ALD Basic principle and Growth Mechanism 4) Purge 2 The reactor is purged once again with an inert gas to remove by-products and unreacted oxidizing precursor.

13 Growth rate 1.b. ALD Basic principle and Growth Mechanism Condensation Decomposition Activation Process Window Desorption Mono layer Ideal case Growth temperature

14 Growth Rate Thickness Step coverage Growth rate 1.b. ALD Basic principle and Growth Mechanism Condensation Decomposition Activation Process Window Desorption Mono layer In reality Any combination possible Growth temperature Saturation Thickness control Conformal growth ALD CVD PVD Precursor dose Number of deposition cycles Deposition rate

15 1.c. ALD Reactors / Productivity Improvement a b ALD reactor types : c d e f (a) showerhead type single wafer ALD (b) reactor batch ALD reactor (c) in-line spatial ALD reactor as designed by SoLayTec (d) in-line spatial ALD reactor as designed by Levitech (e) roll-to-roll ALD reactor as designed by Lotus Applied Technology (f) roll-to-roll ALD reactor as designed by Beneq J A van Delft et al 2012 Semicond. Sci. Technol

16 No Depletion Effect ALD Batch Processing for Low Cost of Ownership Injectors Gas Inlet Bottom ASM A412 DRAM Capacitors, Logic HKMG BENEQ TFS600 Encapsulation for OLED Fast ALD for Passivation of c-si solar cells SolayTec

17 Ultrafast ALD for Al 2 O 3 deposition Passivation of c-si solar cells Efficiency increase of up to 1% absolute Advantages: Atmospheric process Deposition rate up to 10 nm/s (complete system) Uniformity < 3.0% wiw, < 4.0% wtw Throughput up to 3600 wph

18 Spatial ALD for Wafer processing Atomic Layer Deposition Carousel With Continuous Rotation And Methods Of Use J Yudovsky - US Patent 20,120,225,195, 2012

19 Roll to Roll ALD BENEQ WCS 500

20 1.c. ALD Processes for Logic and Memory Applications BEOL Cu Barrier/Seed IBM IEDM 2011 Memory PVD/CVD TaN/Ta RuTa TaN + Co MnOx Imec 2009 FEOL HKMG Technologies Liners/Spacers S/D Contacts Double Patterning ASM PEALD SiO Double Patterning AMD 32nm Intel 45 nm 2007 Samsung Stacked DRAM MIS 90 nm 2004 Infineon DT DRAM 70 nm 2005

21 DRAM Capacitor Roadmap Samsung vs. ITRS Year EOT J A 10-9 A/cm High-k HfO 2 / ZrO 2 / Al 2 O ATO / STO / BST Bottom electrode TiN Ru / RuO 2 / Pt/ IrO 2 / SrRuO Half-pitch (nm) High-k Aspect Ratio Chipworks 2004 Samsung's 90 nm First use of ALD for DRAM 512-Mb DDR SDRAM MIS TiN/HfO 2 /Al 2 O 3 /Si

22 DRAM Capacitor Roadmap Samsung vs. ITRS Year EOT J A 10-9 A/cm High-k HfO 2 / ZrO 2 / Al 2 O ATO / STO / BST Bottom electrode TiN Ru / RuO 2 / Pt/ IrO 2 / SrRuO Half-pitch (nm) High-k Aspect Ratio Chipworks 2011 Samsung 20/30-nm DRAM TiN/ZrO 2 based/tin 6F2 Ti-? (likely TiN)-gate buried wordline * Samsung s 3x DDR3 SDRAM 4F2 or 6F2? You Be the Judge.. Posted on 1/31/2011 Chipworks Blog, Dick James

23 DRAM Capacitor Roadmap Samsung vs. ITRS Year EOT J A 10-9 A/cm [Imec reported at IEDM 2010] a record lowleakage MIM High-k capacitors, JG HfO of / ZrOA/cm2 2 / Al 2 Oat 3 0.4nm EOT, enabling to scale DRAM to the 2x nm Bottom electrode TiN node. The capacitors were realized using a novel TiN/RuOx/TiOx/STO/TiN Half-pitch (nm) stack 57 fabricated 50 in a High-k Aspect Ratio 300mm line with DRAM compatible processes. Chipworks ATO / STO / BST Ru / RuO 2 / Pt/ IrO 2 / SrRuO Samsung 3x -nm SDRAM TiN/ZrO2 based/tin

24 DRAM Capacitor Roadmap Samsung vs. ITRS Tighter pitch MIM Capacitor Challenges: 3x nm 2x nm TiN/ZrO 2 /TiN based TiN/ZrO 2 /TiN based Taller capacitor 1x nm Not(!) STO based High-k CET Improvement ~ nm Thinner than 6 nm Forget about STO etc. Electrodes Wf well above 5 <100 mohmcm Bottom electrode <3 nm

25 Logic Roadmap Intel vs. Foundry ALD enables Scaling Performance Low power Materials

26 Logic Roadmap Intel vs. Foundry III-V channel Nanowire Tri-gate has quite a number of very innovative elements the most critical of which are not in production in any foundry today. The complexity resides in developing a true gate-last stack with dielectric and metal deposited last with atomic layer deposition (ALD) tools. - Prof. Scott Thompson, University of Florida [semimd.com]

27 Logic Roadmap Intel vs. Foundry

28 Logic Roadmap Intel vs. Foundry

29 2.a. Introduction to ALD Lab Dresden VISITING LOCATIONS 1) Fraunhofer-Center Nanoelektronische Technologien, CNT 2) Fraunhofer-Institut für Keramische Technologien und Systeme, IKTS 3) Fraunhofer-Institut für Photonische Mikrosysteme, IPMS 4) NaMLab ggmbh 5) Institut für Halbleiter- und Mikrosystemtechnik, IHM x3 x1 x4,5 x2

30 2.a. Introduction to ALD Lab Dresden VISION A competence center for Atomic Layer Deposition (ALD) in Saxony / Germany as a partner for applied industrial research and development and production MISSION Head to head evaluation with conventional deposition techniques (Sol gel, PVD, CVD) Consultation and evaluation for Industry R&D projects. Technology transfer to industry partners Novel ALD precursors, materials and technologies scaled up from laboratory to pilot production : Environmental, safety and health (ESH) Manufacturability Productivity / Low cost of ownership

31 2.a. Introduction to ALD Lab Dresden COMPETENCES Rapid ALD precursor screening Fundamental research on nucleation and film growth Materials research and development TECHNOLOGIES Thermal ALD, plasma enhanced ALD, thermal flash ALD and molecular layer deposition (MLD) Large Batch, shower head and cross flow ALD reactors Solid and liquid precursor vaporization and injection systems In-situ metrology (QMS, QCM, Q-MACS, Ellipsometry, XPS, AFM, STM) Analytics

32 2.a. Introduction to ALD Lab Dresden APPLICATIONS Micro- and Nanoelectronic devices Diffusion barriers for organic electronics (OLED) Renewable energy sources, Energy storages and harvesting Industrial coatings for wear and corrosion protection CONFERENCES / WORKSHOPS / NETWORKING Novel high k Application Workshop, NaMLab 2012 ( ) INPLAS Talks "ALD and Friends, Fraunhofer IST ( ) ALD Workshop - von der Grundlage zur industriellen, EFDS ( ) AVS ALD / BALD 2012, NaMLab ( ) WoDiM, Fraunhofer CNT ( ) Semicon Europa, ALD Lab Dresden ( ) Workshop Nano- und. Oberflächentechnologien ( ) Fraunhofer CNT Research Day ( )

33 2.a. Introduction to ALD Lab Dresden

34 2.c. Fraunhofer CNT ALD Application Lab Docking Research into Manufacturing Platform for material and process development on 300mm Si wafer Short learning cycles Industrial-grade clean room Infrastructure Linked to 300mm production lines 800 m 2 Clean Room Area 200 m 2 Lab Area 40 Tools (Processing + Metrology) External customers (IC manufacturers, Foundries)

35 2.c. Fraunhofer CNT ALD Application Lab ALD from Lab to Fab in Dresden FHR ALD 300 Jusung EUREKA ASM Pulsar 3000 ALD Experts External Lab Process transfer ASM A412

36 Volume [Wafers/Month] 2.c. Fraunhofer CNT ALD Application Lab ALD from Lab to Fab in Dresden Research & Development Production 200k ALD Experts IPMS/IKTS/CNT 20k 2k Months 2

37 2.a. Introduction to ALD Lab Dresden PROGRAM

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy 35 years of ALD Conventional methods for compound film deposition Heat treatment Final crystallization Nucleation Vacuum evaporation Sputtering CVD Buildup of thin film in source controlled deposition

More information

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z The ALD Powerhouse Picosun Defining the future of ALD Picosun s history and background date back to the very beginning of the field of atomic layer deposition. ALD was invented in Finland in 1974 by Dr.

More information

ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014

ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014 ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014 Pasi Meriläinen Table of Contents What is ALD? The early years 1st wave In the middle 2nd wave

More information

T. Suntola: 30 years of ALD ALD 2004, Aug. 16 18, 2004, University of Helsinki, Finland. 30 years of ALD Tuomo Suntola

T. Suntola: 30 years of ALD ALD 2004, Aug. 16 18, 2004, University of Helsinki, Finland. 30 years of ALD Tuomo Suntola 30 years of ALD Tuomo Suntola Key tool for finding the ALE in 1974 Key tool for finding the ALE in 1974 Tool for the demonstration of ALE in 1974 ALE growth of ZnS in Aug/Sept 1974 ALE growth of ZnS in

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

ALD Atomic Layer Deposition

ALD Atomic Layer Deposition Research - Services ALD Atomic Layer Deposition Atomic Layer Deposition is a deposition process for assembling of thin films on the nanometer scale. The self-limiting deposition of atomic monolayers occurs

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016 Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms Christophe Maleville Substrate readiness 3 lenses view SOI Consortium C1 - Restricted Conference Tokyo 2016

More information

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Thomas Waechtler a, Bernd Gruska b, Sven Zimmermann a, Stefan E. Schulz a, Thomas Gessner a a Chemnitz University

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

FRAUNHOFER INSTITUTe For

FRAUNHOFER INSTITUTe For FRAUNHOFER INSTITUTe For surface engineering and thin films MOCCA + PROCESS AUTOMATION & OPTICAL MONITORING MOCCA + Automate your thin film coating process In many thin film coating processes various factors

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics

Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics Mark Imus Douglas Sisk, Ph.D., Mentor Marian High School RET Program University of Notre Dame Project Proposal Tunneling

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Hannu Teisala a, Mikko Tuominen a, Mikko Aromaa b, Jyrki M. Mäkelä b, Milena Stepien c, Jarkko J. Saarinen c, Martti Toivakka c

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

How To Make A Plasma Control System

How To Make A Plasma Control System XXII. Erfahrungsaustausch Mühlleiten 2015 Plasmaanalyse und Prozessoptimierung mittels spektroskopischem Plasmamonitoring in industriellen Anwendungen Swen Marke,, Lichtenau Thomas Schütte, Plasus GmbH,

More information

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology Precision Fair 2013 Stand 171 Plasma Electronic is Partner of Tailor-Made Surfaces by Plasma Technology Dr. J. Geng, Plasma Electronic GmbH Modern Surface Technology in 1900 Overview A short introduction

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

New Ferroelectric Material for Embedded FRAM LSIs

New Ferroelectric Material for Embedded FRAM LSIs New Ferroelectric Material for Embedded FRAM LSIs V Kenji Maruyama V Masao Kondo V Sushil K. Singh V Hiroshi Ishiwara (Manuscript received April 5, 2007) The strong growth of information network infrastructures

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Surface Area and Porosity

Surface Area and Porosity Surface Area and Porosity 1 Background Techniques Surface area Outline Total - physical adsorption External Porosity meso micro 2 Length 1 Å 1 nm 1 µm 1 1 1 1 1 mm macro meso micro metal crystallite 1-1

More information

Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control

Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control J.N. Kidder, Jr., Yiheng Xu. Nayanee Gupta, Theodosia Gougousi, Laurent Henn-Lecordier, G.W. Rubloff University of

More information

Welcome & Introduction

Welcome & Introduction Welcome & Introduction Accelerating the next technology revolution Sitaram Arkalgud, PhD Director Interconnect Temporary Bond Workshop SEMICON West July 11, 2011 San Francisco CA Copyright 2008 SEMATECH,

More information

THIN FILM DEPOSITION TECHNIQUES STEPS TOWARDS MORE SUSTAINABLE PACKAGES

THIN FILM DEPOSITION TECHNIQUES STEPS TOWARDS MORE SUSTAINABLE PACKAGES THIN FILM DEPOSITION TECHNIQUES STEPS TOWARDS MORE SUSTAINABLE PACKAGES Mika Vähä Nissi 1, Terhi Hirvikorpi 1, Tuomas Mustonen 1, Maarit Karppinen 2, Ali Harlin 1 1 VTT Technical Research Centre of Finland,

More information

New materials on horizon for advanced logic technology in mobile era

New materials on horizon for advanced logic technology in mobile era New materials on horizon for advanced logic technology in mobile era source gate Kelin J. Kuhn, TED 2012 drain Franz Kreupl, IFX 2003 Hsinchu March 6, 2013 - Prof. Dr. Franz Kreupl 1 Outline Introduction

More information

histaris Inline Sputtering Systems

histaris Inline Sputtering Systems vistaris histaris Inline Sputtering Systems Inline Sputtering Systems with Vertical Substrate Transport Modular System for Different Applications VISTARIS Sputtering Systems The system with the brand name

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

Coating Thickness and Composition Analysis by Micro-EDXRF

Coating Thickness and Composition Analysis by Micro-EDXRF Application Note: XRF Coating Thickness and Composition Analysis by Micro-EDXRF www.edax.com Coating Thickness and Composition Analysis by Micro-EDXRF Introduction: The use of coatings in the modern manufacturing

More information

Dr. Tuomo Suntola, They just asked me to propose. PICOSUN NEWS June 2008. Story of Atomic Layer Deposition by

Dr. Tuomo Suntola, They just asked me to propose. PICOSUN NEWS June 2008. Story of Atomic Layer Deposition by PICOSUN NEWS June 2008 Story of Atomic Layer Deposition by Dr. Tuomo Suntola, the Inventor of the ALD Method and a Member of Picosun Board of Directors Picosun Newsletter introduces the latest news of

More information

Introduction to Picosun

Introduction to Picosun Introduction to Picosun MIICS 2012 14 th -16 th March Satu Ek/ Picosun Pioneering ALD experience since 1974 Picosun the company overview Background Picosun Oy (Ltd) established in 2004. Pioneering ALD

More information

Honors Chemistry: Unit 6 Test Stoichiometry PRACTICE TEST ANSWER KEY Page 1. A chemical equation. (C-4.4)

Honors Chemistry: Unit 6 Test Stoichiometry PRACTICE TEST ANSWER KEY Page 1. A chemical equation. (C-4.4) Honors Chemistry: Unit 6 Test Stoichiometry PRACTICE TEST ANSWER KEY Page 1 1. 2. 3. 4. 5. 6. Question What is a symbolic representation of a chemical reaction? What 3 things (values) is a mole of a chemical

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

Flexible Mehrlagen-Schaltungen in Dünnschichttechnik:

Flexible Mehrlagen-Schaltungen in Dünnschichttechnik: Flexible Mehrlagen-Schaltungen in Dünnschichttechnik: Technologie-Plattform für Intelligente Implantate A. Kaiser, S. Löffler, K. Rueß, P. Matej, C. Herbort, B. Holl, G. Bauböck Cicor Advanced Microelectronics

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Nanoelektronik: Von der Realität bis zur Utopie

Nanoelektronik: Von der Realität bis zur Utopie LNQE-Kolloquium Nanoelektronik: Von der Realität bis zur Utopie Heinrich Kurz Institut für Halbleitertechnik, RWTH-Aachen AMICA - Advanced Microelectronic Center Aachen, AMO GmbH I. Einleitung II. Realität

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing CINVESTAV-UNIDAD QUERETARO P.G. Mani-González and A. Herrera-Gomez gmani@qro.cinvestav.mx CINVESTAV 1 background

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

MICRO DROPLET GENERATION TECHNOLOGY

MICRO DROPLET GENERATION TECHNOLOGY MICRO DROPLET GENERATION TECHNOLOGY Micro-droplet Generation Technology Micro-droplet Generation System Semiconductor Wafer Cleaning Precision Coating Emulsion Twin-Fluid Nozzle System www.hshi-tech.co.kr

More information

Impact of Materials Prices on Cost of PV Manufacture Part I (Crystalline Silicon)

Impact of Materials Prices on Cost of PV Manufacture Part I (Crystalline Silicon) Impact of Materials Prices on Cost of PV Manufacture Part I (Crystalline Silicon) Nigel Mason SMEET II Workshop, London 27 Feb 2013 content Brief introduction to Solar PV Technologies Part I - Crystalline

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Introduction to Thin Film Technology LOT. Chair of Surface and Materials Technology

Introduction to Thin Film Technology LOT. Chair of Surface and Materials Technology Introduction to Thin Film Introduction to Thin Film Verfahrenstechnik der Oberflächenmodifikationen Prof. Dr. Xin Jiang Lecture Institut für Werkstofftechnik der Uni-Siegen Sommersemester 2007 Introduction

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

1. PECVD in ORGANOSILICON FED PLASMAS

1. PECVD in ORGANOSILICON FED PLASMAS F. FRACASSI Department of Chemistry, University of Bari (Italy) Plasma Solution srl SURFACE MODIFICATION OF POLYMERS AND METALS WITH LOW TEMPERATURE PLASMA OUTLINE METAL TREATMENTS 1 low pressure PECVD

More information

Silicon Wafer Solar Cells

Silicon Wafer Solar Cells Silicon Wafer Solar Cells Armin Aberle Solar Energy Research Institute of Singapore (SERIS) National University of Singapore (NUS) April 2009 1 1. PV Some background Photovoltaics (PV): Direct conversion

More information

Aging of Zeolite SCR Catalysts

Aging of Zeolite SCR Catalysts 1 Diesel Aftertreatment Accelerated Aging Cycle Development (DAAAC) Aging of Zeolite Based SCR Systems Theodore M. Kostek Aging of Zeolite SCR Catalysts Zeolite structure Steps in SCR reaction Structure,

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

A Kinetic Model for Step Coverage by Atomic Layer Deposition in Narrow Holes or Trenches**

A Kinetic Model for Step Coverage by Atomic Layer Deposition in Narrow Holes or Trenches** A Kinetic Model for Step Coverage by Atomic Layer Deposition in Narrow Holes or Trenches** By Roy G. Gordon,* Dennis Hausmann, Esther Kim, and Joseph Shepard Highly conformal coatings can be deposited

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

Advanced materials & solutions for high h temperatures

Advanced materials & solutions for high h temperatures 2010 Advanced materials & solutions for high h temperatures t Mission To engineer innovative solutions for our customers High temperature Corrosion Mechanical wear 2010 From material to engineering solutions

More information

Advanced Energy Storage Materials for Battery Applications. Advanced Materials December 12 th, 2012. Peter H.L. Notten

Advanced Energy Storage Materials for Battery Applications. Advanced Materials December 12 th, 2012. Peter H.L. Notten Advanced Energy Storage Materials for Battery Applications Advanced Materials December 12 th, 2012 Peter H.L. Notten Eindhoven University of Technology p.h.l.notten@tue.nl >> Focus on sustainability, innovation

More information

AC coupled pitch adapters for silicon strip detectors

AC coupled pitch adapters for silicon strip detectors AC coupled pitch adapters for silicon strip detectors J. Härkönen1), E. Tuovinen1), P. Luukka1), T. Mäenpää1), E. Tuovinen1), E. Tuominen1), Y. Gotra2), L. Spiegel2) Helsinki Institute of Physics, Finland

More information

Our Embedded Dream of the Invisible Future

Our Embedded Dream of the Invisible Future Our Embedded Dream of the Invisible Future Since the invention of semiconductor chips, the evolution of mankind s culture, society and lifestyle has accelerated at a pace never before experienced. Information

More information

CS257 Introduction to Nanocomputing

CS257 Introduction to Nanocomputing CS257 Introduction to Nanocomputing Overview of Crossbar-Based Computing John E Savage Overview Intro to NW growth methods Chemical vapor deposition and fluidic assembly Nano imprinting Nano stamping Four

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

FRAUNHOFER IPMS: WE SHAPE THE LIGHT. PRODUCTS AND FIELDS OF APPLICATION

FRAUNHOFER IPMS: WE SHAPE THE LIGHT. PRODUCTS AND FIELDS OF APPLICATION FRAUNHOFER IPMS: WE SHAPE THE LIGHT. PRODUCTS AND FIELDS OF APPLICATION DR. HARALD SCHENK 10.03.2010 AGENDA Fraunhofer- Gesellschaft Fraunhofer-IPMS in Profile Products and Fields of Application AGENDA

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

How MOCVD. Works Deposition Technology for Beginners

How MOCVD. Works Deposition Technology for Beginners How MOCVD Works Deposition Technology for Beginners Contents MOCVD for Beginners...3 MOCVD A Definition...4 Planetary Reactor Technology...5 Close Coupled Showerhead Technology...6 AIXTRON MOCVD Production

More information

IB Chemistry 1 Mole. One atom of C-12 has a mass of 12 amu. One mole of C-12 has a mass of 12 g. Grams we can use more easily.

IB Chemistry 1 Mole. One atom of C-12 has a mass of 12 amu. One mole of C-12 has a mass of 12 g. Grams we can use more easily. The Mole Atomic mass units and atoms are not convenient units to work with. The concept of the mole was invented. This was the number of atoms of carbon-12 that were needed to make 12 g of carbon. 1 mole

More information

Improving Printability of Functional Materials by. Laboratory of Paper Coating and Converting Martti Toivakka and Jouko Peltonen

Improving Printability of Functional Materials by. Laboratory of Paper Coating and Converting Martti Toivakka and Jouko Peltonen Improving Printability of Functional Materials by Controlled Substrate Topography and Chemistry Laboratory of Paper Coating and Converting Martti Toivakka and Jouko Peltonen Printed functionality & Paper

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Acoustic/Electronic stack design, interconnect, and assembly Techniques available and under development

Acoustic/Electronic stack design, interconnect, and assembly Techniques available and under development Acoustic/Electronic stack design, interconnect, and assembly Techniques available and under development - supported by the European Commission under support-no. IST-026461 e-cubes Maaike M. V. Taklo :

More information

Barrier Coatings: Conversion and Production Status

Barrier Coatings: Conversion and Production Status Transparent SiO 2 Barrier Coatings: Conversion and Production Status E. Finson and J. Felts, Airco Coating Technology, Concord, CA Keywords: Permeation barrier coatings; Reactive evaporation; SiO 2 ABSTRACT

More information

Executive Directors Teija Lahti-Nuuttila & Reijo Kangas

Executive Directors Teija Lahti-Nuuttila & Reijo Kangas Executive Directors Teija Lahti-Nuuttila & Reijo Kangas DM 01-2013 Tekes focus areas crystallise future potential. Teija Lahti-Nuuttila Business in global value networks Value creation based on service

More information

Ultra-high Barrier Plastic. MSE5420 Flexible Electronics Martin Yan, GE Global Research

Ultra-high Barrier Plastic. MSE5420 Flexible Electronics Martin Yan, GE Global Research Ultra-high Barrier Plastic MSE5420 Flexible Electronics Martin Yan, GE Global Research Outline Introduction to plastic substrate and need for barrier Barrier technologies WVTR measurement technologies

More information

Chapter 11 PVD and Metallization

Chapter 11 PVD and Metallization Chapter 11 PVD and Metallization 2006/5/23 1 Metallization Processes that deposit metal thin film on wafer surface. 2006/5/23 2 1 Metallization Definition Applications PVD vs. CVD Methods Vacuum Metals

More information

USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS *

USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS * USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS * JOHN LOO Samsung Austin Semiconductor 12100 Samsung Blvd. Austin, Texas 78754 * Presented at the AVS 5 th International

More information

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons D.Monaghan, V. Bellido-Gonzalez, M. Audronis. B. Daniel Gencoa, Physics Rd, Liverpool, L24 9HP, UK. www.gencoa.com,

More information

Reaction of Magnesium with Hydrochloric Acid (Gas Laws) Chemicals Needed:

Reaction of Magnesium with Hydrochloric Acid (Gas Laws) Chemicals Needed: Reaction of Magnesium with Hydrochloric Acid (Gas Laws) Your Name: Date: Partner(s) Names: Objectives: React magnesium metal with hydrochloric acid, collecting the hydrogen over water. Calculate the grams

More information

Chemical Synthesis. Overview. Chemical Synthesis of Nanocrystals. Self-Assembly of Nanocrystals. Example: Cu 146 Se 73 (PPh 3 ) 30

Chemical Synthesis. Overview. Chemical Synthesis of Nanocrystals. Self-Assembly of Nanocrystals. Example: Cu 146 Se 73 (PPh 3 ) 30 Chemical Synthesis Spontaneous organization of molecules into stable, structurally well-defined aggregates at the nanometer length scale. Overview The 1-100 nm nanoscale length is in between traditional

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Chemistry B11 Chapter 4 Chemical reactions

Chemistry B11 Chapter 4 Chemical reactions Chemistry B11 Chapter 4 Chemical reactions Chemical reactions are classified into five groups: A + B AB Synthesis reactions (Combination) H + O H O AB A + B Decomposition reactions (Analysis) NaCl Na +Cl

More information

Exam 4 Practice Problems false false

Exam 4 Practice Problems false false Exam 4 Practice Problems 1 1. Which of the following statements is false? a. Condensed states have much higher densities than gases. b. Molecules are very far apart in gases and closer together in liquids

More information

Light management for photovoltaics. Ando Kuypers, TNO Program manager Solar

Light management for photovoltaics. Ando Kuypers, TNO Program manager Solar Light management for photovoltaics Ando Kuypers, TNO Program manager Solar Global energy consumption: 500 ExaJoule/Year Solar irradiation on earth sphere: 5.000.000 ExaJoule/year 2 Capturing 0,01% covers

More information

Deposition Overview for Microsytems

Deposition Overview for Microsytems Deposition Overview for Microsytems Deposition PK Activity Terminology Participant Guide www.scme-nm.org Deposition Overview for Microsystems Primary Knowledge Participant Guide Description and Estimated

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Introduction to Picosun ALD

Introduction to Picosun ALD Introduction to Picosun ALD Our mission is to provide our customers with user-friedly, reliable and productive ALD process tools Picosun 1. Picosun 2. Atomic Layer Deposition 3. ALD in Finland 4. Thin

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

Influence of CO2 Bubbling (Carbonation) During Semiconductor Wafer Sawing Process. KP Yan, Reinhold Gaertner, KK Ng

Influence of CO2 Bubbling (Carbonation) During Semiconductor Wafer Sawing Process. KP Yan, Reinhold Gaertner, KK Ng Influence of CO2 Bubbling (Carbonation) During Semiconductor Wafer Sawing Process KP Yan, Reinhold Gaertner, KK Ng Purpose To study the impact of ultra-clean de-ionized (DI) water at semiconductor wafer

More information

Driving The Need For Innovative Memory Solutions

Driving The Need For Innovative Memory Solutions Driving The Need For Innovative Memory Solutions Dr. Ronald D. Black President & CEO 3 February 2014 Quote When a management with a reputation for brilliance tackles a business with a reputation for bad

More information

Optical Disc and Solar Annual Press/Analyst Conference - March 26, 2010

Optical Disc and Solar Annual Press/Analyst Conference - March 26, 2010 SMART SOLUTIONS TO DRIVE THE FUTURE Optical Disc and Solar Annual Press/Analyst Conference - Dr. - Ing. Stefan Rinck AG Optical Disc & Solar - 2 - Optical Disc - Blu-ray Excellent starting position for

More information

Gas Turbine Metallurgy, Coatings and Repair Technology Workshop May 2 nd, 2010

Gas Turbine Metallurgy, Coatings and Repair Technology Workshop May 2 nd, 2010 Gas Turbine Metallurgy, Coatings and Repair Technology Workshop May 2 nd, 2010 ITSC 2010 Singapore May 3-5, 2010 Fairmont Singapore Raffles City Convention Centre Course Instructors Jeff Smith Materials

More information

Gas Chromatography Liner Selection Guide

Gas Chromatography Liner Selection Guide Gas Chromatography Liner Selection Guide Peter Morgan, Thermo Fisher Scientific, Runcorn, Cheshire, UK Technical Note 20551 Key Words Liner, focus Abstract The liner serves an important function in allowing

More information

Ultra-High Density Phase-Change Storage and Memory

Ultra-High Density Phase-Change Storage and Memory Ultra-High Density Phase-Change Storage and Memory by Egill Skúlason Heated AFM Probe used to Change the Phase Presentation for Oral Examination 30 th of May 2006 Modern Physics, DTU Phase-Change Material

More information

SPECTROSCOPIC ELLIPSOMETRY FOR THE IN-SITU INVESTIGATION OF ATOMIC LAYER DEPOSITIONS

SPECTROSCOPIC ELLIPSOMETRY FOR THE IN-SITU INVESTIGATION OF ATOMIC LAYER DEPOSITIONS Faculty of Electrical and Computer Engineering Institute of Semiconductors and Microsystems Student Research Project SPECTROSCOPIC ELLIPSOMETRY FOR THE IN-SITU INVESTIGATION OF ATOMIC LAYER DEPOSITIONS

More information

Paper No. 4071 APPLICATION OF EQCM TO THE STUDY OF CO2 CORROSION

Paper No. 4071 APPLICATION OF EQCM TO THE STUDY OF CO2 CORROSION Paper No. 471 APPLICATION OF EQCM TO THE STUDY OF CO2 CORROSION Yang Yang, Bruce Brown and Srdjan Nešić Institute for Corrosion and Multiphase Technology, Department of Chemical and Biomolecular Engineering

More information

OLED Status quo and Merck s position

OLED Status quo and Merck s position OLED Status quo and Merck s position Merck Information Day 2013 A Deep Dive into Merck s LC&OLED Business Dr. Udo Heider Vice President OLED Darmstadt, Germany June 26, 2013 Disclaimer Remarks All comparative

More information

Active Nanocomposite Materials

Active Nanocomposite Materials Active Nanocomposite Materials Contact: Prof. Jorma Jokiniemi (jorma.jokiniemi@uef.fi) The aim of this project was to develop tailored functional nanocomposite materials for industrial applications. The

More information