III. Wet and Dry Etching

Size: px
Start display at page:

Download "III. Wet and Dry Etching"

Transcription

1 III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity for most materials 1) Inadequate for defining feature size < 1um 2) Potential of chemical handling hazards 3) Wafer contamination issues Isotropic (Except for etching Crystalline Materials) Dry Ion Bombardment or Chemical Reactive Vacuum Chamber 1) Capable of defining small feature size (< 100 nm) 1) High cost, hard to implement 2) low throughput 3) Poor selectivity 4) Potential radiation damage Anisotropic Applied Physics 298r 1 E. Chen ( )

2 Pattern Generation (Transfer): Etch vs. Liftoff Etching Liftoff Lithography Lithography Etching Deposit Strip () Remove () (Liftoff) Applied Physics 298r 2 E. Chen ( )

3 Isotropic vs. Anisotropic Etching Isotropic Etching: Etching rate is the same in both horizontal and vertical direction Anisotropic Etching: Etching rate is different in horizontal and vertical direction Lateral Etch Ratio: R = L Horizontal Etch Rate Vertical Etch Rate ( rh ) ( r ) V R L = 1 Isotropic Etching: R L = 1 Anisotropic Etching: 0 < R L < 1 Directional Etching: R L = 0 0 < R L < 1 Bias: the difference in lateral dimensions between the feature on mask and the actually etched pattern smaller R L results in smaller bias R L = 0 Applied Physics 298r 3 E. Chen ( )

4 Under Cut and Over Etch Bias Bias Under Cut Good for Lift-off (R l = 1, pattern dimension is poorly defined) (R l = 0.5, pattern dimension is better defined) Over-Etch results in more vertical profile but larger bias Worse in thick film Poor CD control in thick film using wet etch Applied Physics 298r 4 E. Chen ( )

5 Erosion: - Etching Selectivity 1) film horizontal etch rate (r fh ) < mask horizontal etch rate (r mh ): W h f 2 S ( ) = ( cotθ + R ) fm m θ % r mh R ml = W/2 (Bias) (mask lateral etch ratio) rmv h f S = fm r r fv mv (ratio of film and mask vertical etching rate selectivity) 1 2) If film horizontal etch rate (r fh ) > mask horizontal etch rate (r mh ): W h f (%) = 2Rm 2 W/2 (Bias) Applied Physics 298r 5 E. Chen ( )

6 - Selectivity (S fm ) vs. Etching Bias Selectivity vs Lateral Etch Ratio R m Selectivity vs Wall angle θ 100 θ = 90º 100 Selectivity Rm = 100% Rm = 50% Rm = 10% Selectivity θ = 90 θ = 60 θ = 30 R m = 100% W/h (%) W/h (%) Most mask material etches isotropically Selectivity > 20:1 Applied Physics 298r 6 E. Chen ( )

7 Wet Etch Crystalline Materials Typically, wet etching is isotropic However on crystalline materials, etching rate is typically lower on the more densely packed surface than on that of loosely packed surface (100) Si: Diamond Lattice Structure {110} {111} Surface Atom Density: {111} > {100} > {110} Etching rate: R(100) ~ 100 x R(111) (100) Si Wafer {100} Applied Physics 298r 7 E. Chen ( )

8 Si Wet Etch (100) Wafer, Aligned in <110> Direction (100) (100) {110} {110} {111} {100} 54.7º (111) (100) (100) 54.7º (111) (200-nm size pyramidal pit on (100) Si substrate) Applied Physics 298r 8 E. Chen ( )

9 Si Wet Etch (100) Wafer, Aligned in <100> Direction (100) (100) {110} {110} <100> {111} {100} Etching on (110) Si <110> <100> <111> (Undercut!) Applied Physics 298r 9 E. Chen ( )

10 GaAs Wet Etch (100) Wafer (100) <100> {111}Ga <100> {110} {111}As {111}As {111}Ga {100} (100) Zincblende Structure (100) Etching Rate: <100> R({111}As) > R({100}) > R({111}Ga) <100> Applied Physics 298r 10 E. Chen ( )

11 Typical Wet Etchants Material Gas Etching Rate Selectivity Si (a-si) 1) KOH 2) HNO3 + H2O + HF ~ nm/min (anisotropic) ~ 100 nm/min > 50:1 SiO2 1) HF 2) BHF ~ nm/min > 50:1 SI3N4 1) HF 2) BHF 3) H 3 PO 4 ~ 100 nm/min ~ 100 nm/min ~ 10 nm/min SiO 2 > 50:1 GaAs 1) H 2 SO 4 + H 2 O 2 +H 2 O 2) Br + CH 3 OH ~ 10 um/min > 50:1 Au 1) HCl + HNO 3 2) KI + I 2 +H 2 O ~ 40 nm/min ~ 1 um/min > 50:1 Al 1) HCl + H2O 2) NaOH ~ 500 nm/min > 50:1 Applied Physics 298r 11 E. Chen ( )

12 Dry Etching Problems with wet etching: Isotropic unable to achieve pattern size smaller than film thickness + Main Purpose of Developing Dry Etching is to Achieve Anisotropic Etching R Type of Dry Etching Technology Physical Sputtering - Physical bombardment Ion Mill Plasma sputtering Plasma Etching - Plasma-assisted chemical reaction Reactive Ion Etching (RIE) - Chemical reaction + ion bombardment + R Applied Physics 298r 12 E. Chen ( )

13 Dry Etching Comparison Chamber Pressure Beam Energy Dry Etching Anisotropy Selectivity High > 100 Mtorr Low Plasma Etching Plasma assisted chemical reaction Low Very Good Low 10 ~ 100 mtorr Medium Reactive Ion Etching Physical bombardment + chemical reaction Medium Good Very Low < 10 mtorr High Physical Sputtering (Ion Mill) physical bombardment High Poor Applied Physics 298r 13 E. Chen ( )

14 Reactive Ion Etching (RIE) Etching gas is introduced into the chamber continuously Plasma is created by RF power Reactive species (radicals and ions) are generated in the plasma radicals: chemical reaction ions: bombardment Reactive species diffused onto the sample surface The species are absorbed by the surface Chemical reaction occurs, forming volatile byproduct Byproduct is desorbed from the surface Byproduct is exhausted from the chamber Gases e - e - Ar Ar + t Shaw Heads Gas Selection: ~ RF 1) React with the material to be etched 2) Result in volatile byproduct with low vapor pressure Applied Physics 298r 14 E. Chen ( )

15 Typical RIE Gases Material Gas Etching Rate (A/min) Selectivity Si (a-si) 1) CF4 2) SF6 3) BCl2 + Cl2 ~ 500 Metal (Cr, Ni, Al) ~ 20:1 ~ 40:1 SiO 2 1) CHF3 + O2 2) CF4 + H2 ~ 200 Metal (Cr, Ni, Al) ~ 10:1 ~ 30:1 SI 3 N 4 1) CF4 + O2 (H2) 2) CHF3 ~ 100 Metal (Cr, Ni, Al) ~ 10:1 ~ 20:1 GaAs 1) Cl2 2) Cl2 + BCl3 ~ 200 SI 3 N 4 Metal (Cr, Ni) ~ 10:1 ~ 20:1 InP 1) CH4/H2 ~ 200 SI 3 N 4 Metal (Cr, Ni, Al) ~ 40:1 Al 1) Cl2 2) BCl3 + Cl2 ~ 300 SI 3 N 4 ~ 10:1 / Polymer 1) O2 ~ 500 SI 3 N 4 Metal (Cr, Ni) ~ 50:1 Applied Physics 298r 15 E. Chen ( )

16 Arts of Nanofabrication: Nano-Dots, -Holes and -Rings Applied Physics 298r 16 E. Chen ( )

17 Arts of Nanofabrication : Nano-Gratings Applied Physics 298r 17 E. Chen ( )

18 Arts of Nanofabrication : Nano-Fluidic Channels Applied Physics 298r 18 E. Chen ( )

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius JePPIX Course Processing Wet and dry etching processes Huub Ambrosius Material removal: etching processes Etching is done either in dry or wet methods: Wet etching uses liquid etchants with wafers immersed

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Dry Etch Process Application Note

Dry Etch Process Application Note G-106-0405 pplication ulletin Dry Etch Process pplication Note nthony Ricci Etch Process Overview The etching process removes selected areas from wafer substrates. The two types of etching processes used

More information

Plasma Etching ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING. Plasma Etching. Dr. Lynn Fuller. http://people.rit.

Plasma Etching ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING. Plasma Etching. Dr. Lynn Fuller. http://people.rit. ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Plasma Etching Dr. Lynn Fuller http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Etching and Pattern Transfer (1) OUTLINE. 6.152J / 3.155J -- Spring Term 2005 Lecture 12 - Etch and Pattern Transfer I (Wet Etch) 1.

Etching and Pattern Transfer (1) OUTLINE. 6.152J / 3.155J -- Spring Term 2005 Lecture 12 - Etch and Pattern Transfer I (Wet Etch) 1. 6.15JST05.Lecture1-1 1 Etching and Pattern Transer (1) OUTLINE Basic Concepts o Etching Wet Etching Speciic Wet Etches Silicon Silicon Dioxide Aluminum Dry (Plasma) Etch eview o Plasmas eading Assignment:

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

3.1 Etching wet etching, micromachining, dry etching, applications, diagnostics and end point detection, challenges in dry etching

3.1 Etching wet etching, micromachining, dry etching, applications, diagnostics and end point detection, challenges in dry etching 3.0 Etching and Chemo-Mechanical Polishing 3.1 Etching wet etching, micromachining, dry etching, applications, diagnostics and end point detection, challenges in dry etching 3.2 Chemo-Mechanical Polishing

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

T.M.M. TEKNIKER MICROMACHINING

T.M.M. TEKNIKER MICROMACHINING T.M.M. TEKNIKER MICROMACHINING Micro and Nanotechnology Dapartment FUNDACION TEKNIKER Avda. Otaola. 20 Tel. +34 943 206744 Fax. +34 943 202757 20600 Eibar http://www.tekniker.es TMM FACILITIES -Clean Room

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-527: MicroFabrication Wet Etching Outline Isotropic Si etching Anisotropic Si etching Anisotropic GaAs etching Isotropic etching of SiO 2, Al, and Cr General features of wet chemical etching Selective

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob Chemical Sputtering von Kohlenstoff durch Wasserstoff W. Jacob Centre for Interdisciplinary Plasma Science Max-Planck-Institut für Plasmaphysik, 85748 Garching Content: Definitions: Chemical erosion, physical

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

Chemical Reactions During Wet-Etching Process of LSMO/PZT/LSMO-Structured Device Fabrication

Chemical Reactions During Wet-Etching Process of LSMO/PZT/LSMO-Structured Device Fabrication Ferroelectrics, 380:1, 97-101, 2009 Reprints available directly from the publisher DOI: 10.1080/00150190902873295 UR L: http://dx.doi.org/10.1080/00150190902873295 2009 Taylor & Francis ISSN: 0015-0193

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Electron Beam and Sputter Deposition Choosing Process Parameters

Electron Beam and Sputter Deposition Choosing Process Parameters Electron Beam and Sputter Deposition Choosing Process Parameters General Introduction The choice of process parameters for any process is determined not only by the physics and/or chemistry of the process,

More information

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Songlin Xu, a Ce Qin, Li Diao, Dave Gilbert, Li Hou, and Allan Wiesnoski Mattson Technology, Inc., Fremont,

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Deposition of Thin Metal Films " (on Polymer Substrates)!

Deposition of Thin Metal Films  (on Polymer Substrates)! Deposition of Thin Metal Films " (on Polymer Substrates)! Shefford P. Baker! Cornell University! Department of Materials Science and Engineering! Ithaca, New York, 14853! MS&E 5420 Flexible Electronics,

More information

IMA. Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer Bauelemente. Sören Irmer

IMA. Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer Bauelemente. Sören Irmer Sören Irmer Workshop "Oberflächentechnologie mit Plasma- und Ionenstrahlprozessen - Mühlleithen, 02. März 2004 Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 16, No. 2, pp. 90-94, April 25, 2015 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2015.16.2.90 OAK Central:

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Mask Cleaning Processes and Challenges

Mask Cleaning Processes and Challenges Mask Cleaning Processes and Challenges Brian J. Grenon Grenon Consulting, Inc. 92 Dunlop Way Colchester, VT 05446 Phone: 802-862-4551 Fax: 802-658-8952 e-mail bgrenon@together.net Mask Supply Workshop

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include:

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include: CVD SILICON CARBIDE CVD SILICON CARBIDE is the ideal performance material for design engineers. It outperforms conventional forms of silicon carbide, as well as other ceramics, quartz, and metals in chemical

More information

Spectroscopic Ellipsometry:

Spectroscopic Ellipsometry: Spectroscopic : What it is, what it will do, and what it won t do by Harland G. Tompkins Introduction Fundamentals Anatomy of an ellipsometric spectrum Analysis of an ellipsometric spectrum What you can

More information

Lecture 2 - Semiconductor Physics (I) September 13, 2005

Lecture 2 - Semiconductor Physics (I) September 13, 2005 6.012 - Microelectronic Devices and Circuits - Fall 2005 Lecture 2-1 Lecture 2 - Semiconductor Physics (I) September 13, 2005 Contents: 1. Silicon bond model: electrons and holes 2. Generation and recombination

More information

Lift-off Electrolytic growth Etching transfer techniques Wet chemical etching Ion Beam Etching Reactive Ion Etching Reactive Ion Beam Etching

Lift-off Electrolytic growth Etching transfer techniques Wet chemical etching Ion Beam Etching Reactive Ion Etching Reactive Ion Beam Etching Transfer techniques Transfer techniques Lift-off Electrolytic growth Etching transfer techniques Wet chemical etching Ion Beam Etching Reactive Ion Etching Reactive Ion Beam Etching Chemical Ion Beam Etching

More information

How To Make A Plasma Control System

How To Make A Plasma Control System XXII. Erfahrungsaustausch Mühlleiten 2015 Plasmaanalyse und Prozessoptimierung mittels spektroskopischem Plasmamonitoring in industriellen Anwendungen Swen Marke,, Lichtenau Thomas Schütte, Plasus GmbH,

More information

2015-2016 Facility Rates & Expense Caps

2015-2016 Facility Rates & Expense Caps NANOFAB FEES / SERVICES Entry Fee $20.00/Day $32.10/Day Nanofab Training Fee $25.00/Hour $40.13/Hour Nanofab Process Development/Labor $50.00/Hour $80.25/Hour Model Shop $25.00/Month $40.13/Month Wafer

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

We emphasize Lewis electron dot structures because of their usefulness in explaining structure of covalent molecules, especially organic molecules.

We emphasize Lewis electron dot structures because of their usefulness in explaining structure of covalent molecules, especially organic molecules. Chapter 10 Bonding: Lewis electron dot structures and more Bonding is the essence of chemistry! Not just physics! Chemical bonds are the forces that hold atoms together in molecules, in ionic compounds,

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology Precision Fair 2013 Stand 171 Plasma Electronic is Partner of Tailor-Made Surfaces by Plasma Technology Dr. J. Geng, Plasma Electronic GmbH Modern Surface Technology in 1900 Overview A short introduction

More information

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators Dr Peter Hockley and Professor Mike Thwaites, Plasma Quest Limited

More information

Plasma Cleaner: Physics of Plasma

Plasma Cleaner: Physics of Plasma Plasma Cleaner: Physics of Plasma Nature of Plasma A plasma is a partially ionized gas consisting of electrons, ions and neutral atoms or molecules The plasma electrons are at a much higher temperatures

More information

Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration

Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration M G Kibria, F Zhang, T H Lee, M J Kim and M M R Howlader Dept. Electrical and Computer Engineering,

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

Chem 1A Exam 2 Review Problems

Chem 1A Exam 2 Review Problems Chem 1A Exam 2 Review Problems 1. At 0.967 atm, the height of mercury in a barometer is 0.735 m. If the mercury were replaced with water, what height of water (in meters) would be supported at this pressure?

More information

APPENDIX B: EXERCISES

APPENDIX B: EXERCISES BUILDING CHEMISTRY LABORATORY SESSIONS APPENDIX B: EXERCISES Molecular mass, the mole, and mass percent Relative atomic and molecular mass Relative atomic mass (A r ) is a constant that expresses the ratio

More information

Answers and Solutions to Text Problems

Answers and Solutions to Text Problems Chapter 7 Answers and Solutions 7 Answers and Solutions to Text Problems 7.1 A mole is the amount of a substance that contains 6.02 x 10 23 items. For example, one mole of water contains 6.02 10 23 molecules

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

States of Matter CHAPTER 10 REVIEW SECTION 1. Name Date Class. Answer the following questions in the space provided.

States of Matter CHAPTER 10 REVIEW SECTION 1. Name Date Class. Answer the following questions in the space provided. CHAPTER 10 REVIEW States of Matter SECTION 1 SHORT ANSWER Answer the following questions in the space provided. 1. Identify whether the descriptions below describe an ideal gas or a real gas. ideal gas

More information

Tecnologie convenzionali nell approccio top-down; I: metodi e problematiche per la deposizione di film sottili

Tecnologie convenzionali nell approccio top-down; I: metodi e problematiche per la deposizione di film sottili LS Scienza dei Materiali - a.a. 2005/06 Fisica delle Nanotecnologie part 8 Version 4, Dec 2005 Francesco Fuso, tel 0502214305, 0502214293 - fuso@df.unipi.it http://www.df.unipi.it/~fuso/dida Tecnologie

More information

Deposition Overview for Microsytems

Deposition Overview for Microsytems Deposition Overview for Microsytems Deposition PK Activity Terminology Participant Guide www.scme-nm.org Deposition Overview for Microsystems Primary Knowledge Participant Guide Description and Estimated

More information

Effects of Plasma Activation on Hydrophilic Bonding of Si and SiO 2

Effects of Plasma Activation on Hydrophilic Bonding of Si and SiO 2 PUBLICATION A Effects of Plasma Activation on Hydrophilic Bonding of Si and SiO 2 Journal of The Electrochemical Society, Vol. 149, No. 6, (2002), pp. G348 G351. Reprinted by permission of ECS The Electrochemical

More information

Chem 112 Intermolecular Forces Chang From the book (10, 12, 14, 16, 18, 20,84,92,94,102,104, 108, 112, 114, 118 and 134)

Chem 112 Intermolecular Forces Chang From the book (10, 12, 14, 16, 18, 20,84,92,94,102,104, 108, 112, 114, 118 and 134) Chem 112 Intermolecular Forces Chang From the book (10, 12, 14, 16, 18, 20,84,92,94,102,104, 108, 112, 114, 118 and 134) 1. Helium atoms do not combine to form He 2 molecules, What is the strongest attractive

More information

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology General Plasma, Inc. 546 East 25th Street Tucson, Arizona 85713 tel. 520-882-5100 fax. 520-882-5165 and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology M.

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

EXPERIMENT 4 The Periodic Table - Atoms and Elements

EXPERIMENT 4 The Periodic Table - Atoms and Elements EXPERIMENT 4 The Periodic Table - Atoms and Elements INTRODUCTION Primary substances, called elements, build all the materials around you. There are more than 109 different elements known today. The elements

More information

Oberflächenbearbeitung durch reaktive Ionenstrahlen

Oberflächenbearbeitung durch reaktive Ionenstrahlen Oberflächenbearbeitung durch reaktive Ionenstrahlen André Mießler, Thomas Arnold Leibniz-Institut für Oberflächenmodifizierung e. V. Permoserstr. 15, D-04318 Leipzig andre.miessler@iom-leipzig.de www.iom-leipzig.de

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Education of Solar Cells at Budapest University of Technology and Economics

Education of Solar Cells at Budapest University of Technology and Economics Education of Solar Cells at Budapest University of Technology and Economics Veronika Timár-Horváth, Dr. János Mizsei, Balázs Plesz OUTLINE: Education of Solar Cells at TU Budapest Description of curricula

More information

Sample Analysis Design Isotope Dilution

Sample Analysis Design Isotope Dilution Isotope Dilution Most accurate and precise calibration method available Requires analyte with two stable isotopes Monoisotopic elements cannot be determined via isotope dilution Spike natural sample with

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

Barrier Coatings: Conversion and Production Status

Barrier Coatings: Conversion and Production Status Transparent SiO 2 Barrier Coatings: Conversion and Production Status E. Finson and J. Felts, Airco Coating Technology, Concord, CA Keywords: Permeation barrier coatings; Reactive evaporation; SiO 2 ABSTRACT

More information

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing CINVESTAV-UNIDAD QUERETARO P.G. Mani-González and A. Herrera-Gomez gmani@qro.cinvestav.mx CINVESTAV 1 background

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

Stoichiometry. 1. The total number of moles represented by 20 grams of calcium carbonate is (1) 1; (2) 2; (3) 0.1; (4) 0.2.

Stoichiometry. 1. The total number of moles represented by 20 grams of calcium carbonate is (1) 1; (2) 2; (3) 0.1; (4) 0.2. Stoichiometry 1 The total number of moles represented by 20 grams of calcium carbonate is (1) 1; (2) 2; (3) 01; (4) 02 2 A 44 gram sample of a hydrate was heated until the water of hydration was driven

More information

Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control

Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control J.N. Kidder, Jr., Yiheng Xu. Nayanee Gupta, Theodosia Gougousi, Laurent Henn-Lecordier, G.W. Rubloff University of

More information

Concepts and principles of optical lithography

Concepts and principles of optical lithography 1/56 2/56 Concepts and principles of optical lithography Francesc Pérez-Murano Institut de Microelectrònica de Barcelona (CNM-IMB, CSIC) Francesc.Perez@cnm.es 10 cm mà blia 1 cm Gra de sorra Xip 1 mm 100

More information

Injection moulding and modelling on a micro scale

Injection moulding and modelling on a micro scale Injection moulding and modelling on a micro scale Technology Update Injection moulding and welding of plastics 11 November 2014 Research Projects (National / European) Micro/Nano/Multimaterial Manufacturing

More information

Name: Class: Date: 2 4 (aq)

Name: Class: Date: 2 4 (aq) Name: Class: Date: Unit 4 Practice Test Multiple Choice Identify the choice that best completes the statement or answers the question. 1) The balanced molecular equation for complete neutralization of

More information

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4 Wafer Manufacturing Reading Assignments: Plummer, Chap 3.1~3.4 1 Periodic Table Roman letters give valence of the Elements 2 Why Silicon? First transistor, Shockley, Bardeen, Brattain1947 Made by Germanium

More information

Chem 115 POGIL Worksheet - Week 4 Moles & Stoichiometry Answers

Chem 115 POGIL Worksheet - Week 4 Moles & Stoichiometry Answers Key Questions & Exercises Chem 115 POGIL Worksheet - Week 4 Moles & Stoichiometry Answers 1. The atomic weight of carbon is 12.0107 u, so a mole of carbon has a mass of 12.0107 g. Why doesn t a mole of

More information

Intermolecular Forces

Intermolecular Forces Intermolecular Forces: Introduction Intermolecular Forces Forces between separate molecules and dissolved ions (not bonds) Van der Waals Forces 15% as strong as covalent or ionic bonds Chapter 11 Intermolecular

More information

FOUP (Pod) contamination control solutions for 200 mm, 300 mm and 450 mm substrates. Jorgen Lundgren, Senior Applications Engineer Entegris GmbH

FOUP (Pod) contamination control solutions for 200 mm, 300 mm and 450 mm substrates. Jorgen Lundgren, Senior Applications Engineer Entegris GmbH FOUP (Pod) contamination control solutions for 200 mm, 300 mm and 450 mm substrates Jorgen Lundgren, Senior Applications Engineer Entegris GmbH Agenda Entegris introduction FOUP (Pod) Contamination Control

More information

The Periodic Table: Periodic trends

The Periodic Table: Periodic trends Unit 1 The Periodic Table: Periodic trends There are over one hundred different chemical elements. Some of these elements are familiar to you such as hydrogen, oxygen, nitrogen and carbon. Each one has

More information

This paper describes Digital Equipment Corporation Semiconductor Division s

This paper describes Digital Equipment Corporation Semiconductor Division s WHITEPAPER By Edd Hanson and Heather Benson-Woodward of Digital Semiconductor Michael Bonner of Advanced Energy Industries, Inc. This paper describes Digital Equipment Corporation Semiconductor Division

More information

ACTIVATION ENERGY: AN ELEMENTARY STUDY IN THE MATRIX ISOTROPIC ETCHER.

ACTIVATION ENERGY: AN ELEMENTARY STUDY IN THE MATRIX ISOTROPIC ETCHER. SiO2 ACTIVATION ENERGY: AN ELEMENTARY STUDY IN THE MATRIX ISOTROPIC ETCHER. 1 A.J. BALLONI Fundação Centro Tecnológico para Informática Instituto de Microeletrônica Laboratório de Manufatura de Circuitos

More information

B I N G O B I N G O. Hf Cd Na Nb Lr. I Fl Fr Mo Si. Ho Bi Ce Eu Ac. Md Co P Pa Tc. Uut Rh K N. Sb At Md H. Bh Cm H Bi Es. Mo Uus Lu P F.

B I N G O B I N G O. Hf Cd Na Nb Lr. I Fl Fr Mo Si. Ho Bi Ce Eu Ac. Md Co P Pa Tc. Uut Rh K N. Sb At Md H. Bh Cm H Bi Es. Mo Uus Lu P F. Hf Cd Na Nb Lr Ho Bi Ce u Ac I Fl Fr Mo i Md Co P Pa Tc Uut Rh K N Dy Cl N Am b At Md H Y Bh Cm H Bi s Mo Uus Lu P F Cu Ar Ag Mg K Thomas Jefferson National Accelerator Facility - Office of cience ducation

More information

Nanofabrication using anodic alumina templates. IFIMUP and IN Institute of Nanoscience and Nanotechnology

Nanofabrication using anodic alumina templates. IFIMUP and IN Institute of Nanoscience and Nanotechnology Nanofabrication using anodic alumina templates João Pedro Araújo IFIMUP and IN Institute of Nanoscience and Nanotechnology Outline Template based nanofabrication Nanoporous alumina templates Template filling

More information

Reactive Sputtering Using a Dual-Anode Magnetron System

Reactive Sputtering Using a Dual-Anode Magnetron System Reactive Sputtering Using a Dual-Anode Magnetron System A. Belkind and Z. Zhao, Stevens Institute of Technology, Hoboken, NJ; and D. Carter, G. McDonough, G. Roche, and R. Scholl, Advanced Energy Industries,

More information

Quartz Glass. Tubes and Rods

Quartz Glass. Tubes and Rods Quartz Glass Tubes and Rods PH 300, GE 214, QI PN GVB Solutions in Glass Schlackstrasse 3 52080 Aachen Germany +49-241/9108588 +49-241/9108589 E- info@g-v-b.de www.g-v-b.de Table of contents General Information

More information

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2 Solid State Phenomena Vol. 134 (2008) pp 359-362 Online available since 2007/Nov/20 at www.scientific.net (2008) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.134.359 Metal Hard

More information

Technical Synopsis of Plasma Surface Treatments

Technical Synopsis of Plasma Surface Treatments Technical Synopsis of Plasma Surface Treatments Wesley Taylor Advisor: Dr. Bruce Welt University of Florida, Gainesville, FL December, 2009 Abstract Surface treatment technology delves into some of the

More information

Secondary Ion Mass Spectrometry

Secondary Ion Mass Spectrometry Secondary Ion Mass Spectrometry A PRACTICAL HANDBOOK FOR DEPTH PROFILING AND BULK IMPURITY ANALYSIS R. G. Wilson Hughes Research Laboratories Malibu, California F. A. Stevie AT&T Bell Laboratories Allentown,

More information

In the box below, draw the Lewis electron-dot structure for the compound formed from magnesium and oxygen. [Include any charges or partial charges.

In the box below, draw the Lewis electron-dot structure for the compound formed from magnesium and oxygen. [Include any charges or partial charges. Name: 1) Which molecule is nonpolar and has a symmetrical shape? A) NH3 B) H2O C) HCl D) CH4 7222-1 - Page 1 2) When ammonium chloride crystals are dissolved in water, the temperature of the water decreases.

More information

CLASS TEST GRADE 11. PHYSICAL SCIENCES: CHEMISTRY Test 6: Chemical change

CLASS TEST GRADE 11. PHYSICAL SCIENCES: CHEMISTRY Test 6: Chemical change CLASS TEST GRADE PHYSICAL SCIENCES: CHEMISTRY Test 6: Chemical change MARKS: 45 TIME: hour INSTRUCTIONS AND INFORMATION. Answer ALL the questions. 2. You may use non-programmable calculators. 3. You may

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/1162193/dc1 Supporting Online Material for Polymer Pen Lithography Fengwei Huo, Zijian Zheng, Gengfeng Zheng, Louise R. Giam, Hua Zhang, Chad A. Mirkin* *To whom correspondence

More information

Process Diagnostics of Industrial Plasma Systems

Process Diagnostics of Industrial Plasma Systems Process Diagnostics of Industrial Plasma Systems A thesis for the degree of PHILOSOPHIAE DOCTOR Presented to Dublin City University By Niall Mac Gearailt B.Eng. Faculty of Engineering and Computing Dublin

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Sputtering. Ion-Solid Interactions

Sputtering. Ion-Solid Interactions ssistant Professor Department of Microelectronic Engineering Rochester Institute of Technology 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (716) 475-2923 Fax (716) 475-5041 PDRDV@RIT.EDU Page 1

More information

Application of SEERS to real time Plasma Monitoring in Production at different FABs

Application of SEERS to real time Plasma Monitoring in Production at different FABs AECAPC SYMPOSIUM 2001, BANFF Application of SEERS to real time Plasma Monitoring in Production at different FABs Volker Tegeder Sensor Evaluation Calculation of expected Economical Benefit Automatic link

More information

CHEM 107 (Spring-2005) Final Exam (100 pts)

CHEM 107 (Spring-2005) Final Exam (100 pts) CHEM 107 (Spring-2005) Final Exam (100 pts) Name: ------------------------------------------------------------------------, Clid # ------------------------------ LAST NAME, First (Circle the alphabet segment

More information

Fabrication and characterization of dry and wet etched InGaAs/InGaAsP/InP long wavelength semiconductor lasers

Fabrication and characterization of dry and wet etched InGaAs/InGaAsP/InP long wavelength semiconductor lasers Fabrication and characterization of dry and wet etched InGaAs/InGaAsP/InP long wavelength semiconductor lasers Bulent Cakmak Ataturk University, Faculty of Engineering, Department of Electronics and Telecommunication

More information