Introduction to Picosun

Size: px
Start display at page:

Download "Introduction to Picosun"

Transcription

1 Introduction to Picosun MIICS th -16 th March Satu Ek/ Picosun Pioneering ALD experience since 1974

2 Picosun the company overview Background Picosun Oy (Ltd) established in Pioneering ALD experience since early seventies. Core business: Developing and manufacturing high quality R&D and production ALD tools for the world wide markets. Profitable for 5 consecutive years, fast-growing: 100% growth in FY 2010 (5/2010 4/2011). Sales est. 10+ M in FY 2011 (5/2011 4/2012). Mission To develop and manufacture top quality ALD equipment and processes for today s and future s global production and R&D market. Because of our uniquely scalable and versatile design, the same ALD equipment can be smoothly upgraded from R&D to industrial scale manufacturing. Team Core team: 12 PhD s & experts in ALD (Board of Directors included). Altogether 35+ people and 40+ subcontracting companies take part in the operation.

3 Picosun the company overview Uniqueness in the field Picosun s history dates back to the invention of the ALD technology itself. Picosun Board Member Dr.(tech.) Tuomo Suntola invented the method in 1974 and another Board Member, Mr. Sven Lindfors has designed and developed top quality ALD process tools since 1975 almost four decades of pioneering experience and know-how on ALD. Sole concentration on ALD since the beginning Since the beginning, ALD has been Picosun s sole focus and exclusive business area. Reactor design honed to perfection through over 15 tool generations and constant two-way feedback with customers Picosun tools in daily use in high profile industries and top research organizations on four continents Global sales and support organization, redistributors in ca. 30 countries.

4 Picosun worldwide HQ in Espoo, Finland Factory in Masala, Finland Picosun Asia, Singapore Picosun USA, Detroit, Michigan Global Distribution Partner Network Worldwide Sales and Support

5 Principle of ALD (Al 2 O 3 film growth as an example)

6 Advantages of ALD Source control Surface control ALD = surface controlled method Conventional CVD methods = source controlled deposition Pictures credit T. Suntola ~100% conformal Precise thickness control Excellent uniformity Pinhole- and defect-free films Repeatable process Low process temperatures Graded or mixed layers/nanolaminates

7 ALD precursors Typical deposition pressure 1 10 hpa (mbar) Typical deposition temperature (100) (500) o C (even < 100 o C temperatures with plasmaenhanced ALD) Properties of an optimal precursor Highly reactive to complementary precursor Sufficiently volatile, reproducible vaporization rate Stable, no self-reaction, decomposition Optimal ligand size No etching of the film/substrate Easy synthesis and handling Non-toxic, environmentally friendly Sufficient purity

8 ALD processes Oxides Dielectrics Al 2 O 3, TiO 2, ZrO 2, HfO 2, Ta 2 O 5, Nb 2 O 5, Sc 2 O 3, Y 2 O 3, MgO, B 2 O 3, SiO 2, GeO 2, La 2 O 3, CeO 2, PrO x, Nd 2 O 3, Sm 2 O 3, EuO x, Gd 2 O 3, Dy 2 O 3, Ho 2 O 3, Er 2 O 3, Tm 2 O 3, Yb 2 O 3, Lu 2 O 3, SrTiO 3, BaTiO 3, PbTiO 3, PbZrO 3, Bi x Ti y O, Bi x Si y O, SrTa 2 O 6, SrBi 2 Ta 2 O 9, YScO 3, LaAlO 3, NdAlO 3, GdScO 3, LaScO 3, LaLuO 3, Er 3 Ga 5 O 13 Nitrides Conductors/ Semiconductors In 2 O 3, In 2 O 3 :Sn, In 2 O 3 :F, In 2 O 3 :Zr, SnO 2, SnO 2 :Sb, ZnO, ZnO:Al, ZnO:B, ZnO:Ga, RuO 2, RhO 2, IrO 2, Ga 2 O 3, V 2 O 5, WO 3, W 2 O 3, NiO, FeO x, CrO x, CoO x, MnO x Other ternaries LaCoO 3, LaNiO 3, LaMnO 3, La 1-x Ca x MnO 3 Semiconductors/ Dielectrics BN, AlN, GaN, InN, SiN x, Ta 3 N 5, Cu 3 N, Zr 3 N 4, Hf 3 N 4 Metallics TiN, TiSiN, TiAlN, TiAlCN, TaN, NbN, MoN, WC x, WN x, Ternaries WN x C y, TaC x N y II-VI compounds Binaries ZnS, ZnSe, ZnTe, CaS, SrS, BaS, CdS, CdTe, MnTe, HgTe, Doped compounds ZnS:M (M = Mn, Tb, Tm), CaS:M (M = Eu, Ce, Tb, Pb), SrS:M (M = Ce, Tb, Pb) III-V compounds Binaries GaAs, AlAs, AlP, InP, GaP, InAs Fluorides Binaries CaF 2, SrF 2, MgF 2, LaF 3, YF 3, ZnF 2 Elements Metals Ru, Pt, Ir, Pd, Rh, Ag, Au, W, Cu, Co, Fe, Ni, Mo, Ta, Ti, Al, Si, Ge Others La 2 S 3, PbS, In 2 S 3, Cu x S, CuGaS 2, Y 2 O 2 S, WS 2, TiS 2, SiC, TiC x, TaC x, WC x,

9 ALD application areas Semiconductors Micro/nanoelectronics Gate dielectrics DRAM Gate electrodes Metal interconnects Read heads Diffusion barriers Multilayer capacitors MEMS/NEMS Wear resistant Solid lubricants Anti-corrosion Mold and dies Blade edges Optics OLED layers Optical filters Transparent conductors Solar cells Antireflection Photonic crystals Integrated optics UV blocking Electroluminescense Colored coatings Microchannel plates Fresnel-zone plates Nanostructures Inside pores AFM tips Around particles Nanotubes/wires Chemical Catalysis Fuel cells Batteries Other Nano-glue Internal tube liners Roll to roll Biocompatible Magnetic

10 Picosun s participation in EU projects FP7: Corrosion protection with perfect atomic layers (CORRAL); ended in 2011 FP7: All-inorganic nano-rod based thin-film solarcells (ROD-SOL); ended in 2011 FP7: Modern polymer-based catalysts and microflow conditions as key elements of innovations in fine chemical synthesis (POLYCAT); ENIAC: Efficient silicon multi-chip system-inpackage integration Reliability, failure analysis and test (ESiP); ENIAC: Energy-efficient piezo-mems tunable RF front end antenna systems for mobile systems (EPAMO);

11 PICOSUN ALD systems product lines R-Series Manual or semi-automatic for research and development Highly versatile and customizable for single/batch wafer, 3D, through-porous, particle samples P-Series Fully automatic single and batch wafer processes for High-Volume-Manufacturing Wafers up to 300 mm diameter and large 3D objects Throughput can be upscaled to even thousands of wafers per hour with several P-series reactors clustered together and operated with an industrial robot

12 PICOSUN product lines Central features of PICOSUN ALD systems Dual chamber hot wall reactor No condensation on the vacuum chamber walls No undesirable secondary reaction routes Ultra-low particle count (down to 1-2 > 90nm particles/wafer!), long maintenance cycles Top-flow distribution of precursors from separate inlets, via pre-heated source lines Gas flow direction optimal to the substrate surface Easy to coat even challenging throughporous samples or large wafer batches No cross-contamination or premature reacting between precursors Specifically designed, innovative source heating/boosting system for precursors of low vapor pressure Material Nonuniformity Single (S) / batch (B) process (1σ) AI 2 O % B SiO % B TiO % S TiN 1.1 % S ZnO 0.94 % S Pt 3.41 % S PEALD Al 2 O % Target (1σ) thickness non-uniformity in-wafer < 1 % 0.51 % thickness non-uniformity in-batch < 1 % 0.80 % deposition rate variation batch-to-batch < 1 % 0.18 % Film thickness uniformity data of an Al 2 O 3 batch process in a PICOSUN ALD tool. PEALD AlN 0.62 % S PEALD In 2 O % S PEALD ZnO 2.64 % S Excellent film uniformities achieved in Picosun thermal and plasma (PEALD) processes. Wafer size 6, 49 point measurement. S Measured (1σ)

13 PICOPLASMA PEALD source system Inductively coupled remote plasma source system based on highly reactive radicals for top quality PEALD without plasma damage to the substrate Widens the selection of precursors and process chemistries by enabling use of also lesser reactivity chemicals Possibility to lower processing temperatures due to high energy of the radicals sensitive, fragile substrates such as polymers, plastic, papers... Possible to use the same tool both in plasma and thermal mode. Example: 100 nm Al 2 O 300 o C thermal process on 8 Si wafer without any technical changes to the tool: 1σ 0.9% (process time 33 min) Best-seller since its launch: in daily use by several key customers on three continents Multiple patents

14 PICOPLATFORM ALD cluster system Multifunctional, integrated, ALD cluster system comprised of several individual Picosun reactors connected with a vacuum robot central unit fully automatic cassette-tocassette loading Several different simultaneous coating processes from various precursors (oxides, nitrides & other conventionals to metals/metallics, polymers etc) Smooth moving of substrates between the individual reaction chambers without manual handling or vacuum break between process steps UHV and chloride compatible, source heating possible up to 300 o C, both thermal & plasma ALD in same unit, enhanded diffusion with stop-flow system possible Possibility to combine also other processing modules such as pre-cleaning, etching, PVD/CVD to the central unit

15 PICOSUN R-200 with PICOPLASMA

16 PICOPLATFORM and PICOSUN P-300

17 PICOPLATFORM ALD cluster system

18 PICOPLATFORM ALD cluster system

19 Summary Picosun is a fast growing company with unique ALD technology for industrial manufacturing Picosun welcomes industry and research partners to join us for full range collaboration Picosun is committed to use ALD technology for building a cleaner world

20 Network Companies New Precursors Thin film materials Processes Applications EU -projects Universities and research institutes Customers

21 Thank You/ Kiitokset!

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z The ALD Powerhouse Picosun Defining the future of ALD Picosun s history and background date back to the very beginning of the field of atomic layer deposition. ALD was invented in Finland in 1974 by Dr.

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include:

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include: CVD SILICON CARBIDE CVD SILICON CARBIDE is the ideal performance material for design engineers. It outperforms conventional forms of silicon carbide, as well as other ceramics, quartz, and metals in chemical

More information

CLASS TEST GRADE 11. PHYSICAL SCIENCES: CHEMISTRY Test 6: Chemical change

CLASS TEST GRADE 11. PHYSICAL SCIENCES: CHEMISTRY Test 6: Chemical change CLASS TEST GRADE PHYSICAL SCIENCES: CHEMISTRY Test 6: Chemical change MARKS: 45 TIME: hour INSTRUCTIONS AND INFORMATION. Answer ALL the questions. 2. You may use non-programmable calculators. 3. You may

More information

ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014

ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014 ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014 Pasi Meriläinen Table of Contents What is ALD? The early years 1st wave In the middle 2nd wave

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

T. Suntola: 30 years of ALD ALD 2004, Aug. 16 18, 2004, University of Helsinki, Finland. 30 years of ALD Tuomo Suntola

T. Suntola: 30 years of ALD ALD 2004, Aug. 16 18, 2004, University of Helsinki, Finland. 30 years of ALD Tuomo Suntola 30 years of ALD Tuomo Suntola Key tool for finding the ALE in 1974 Key tool for finding the ALE in 1974 Tool for the demonstration of ALE in 1974 ALE growth of ZnS in Aug/Sept 1974 ALE growth of ZnS in

More information

Chem 115 POGIL Worksheet - Week 4 Moles & Stoichiometry Answers

Chem 115 POGIL Worksheet - Week 4 Moles & Stoichiometry Answers Key Questions & Exercises Chem 115 POGIL Worksheet - Week 4 Moles & Stoichiometry Answers 1. The atomic weight of carbon is 12.0107 u, so a mole of carbon has a mass of 12.0107 g. Why doesn t a mole of

More information

B I N G O B I N G O. Hf Cd Na Nb Lr. I Fl Fr Mo Si. Ho Bi Ce Eu Ac. Md Co P Pa Tc. Uut Rh K N. Sb At Md H. Bh Cm H Bi Es. Mo Uus Lu P F.

B I N G O B I N G O. Hf Cd Na Nb Lr. I Fl Fr Mo Si. Ho Bi Ce Eu Ac. Md Co P Pa Tc. Uut Rh K N. Sb At Md H. Bh Cm H Bi Es. Mo Uus Lu P F. Hf Cd Na Nb Lr Ho Bi Ce u Ac I Fl Fr Mo i Md Co P Pa Tc Uut Rh K N Dy Cl N Am b At Md H Y Bh Cm H Bi s Mo Uus Lu P F Cu Ar Ag Mg K Thomas Jefferson National Accelerator Facility - Office of cience ducation

More information

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy 35 years of ALD Conventional methods for compound film deposition Heat treatment Final crystallization Nucleation Vacuum evaporation Sputtering CVD Buildup of thin film in source controlled deposition

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Chem 115 POGIL Worksheet - Week 4 Moles & Stoichiometry

Chem 115 POGIL Worksheet - Week 4 Moles & Stoichiometry Chem 115 POGIL Worksheet - Week 4 Moles & Stoichiometry Why? Chemists are concerned with mass relationships in chemical reactions, usually run on a macroscopic scale (grams, kilograms, etc.). To deal with

More information

From Quantum to Matter 2006

From Quantum to Matter 2006 From Quantum to Matter 006 Why such a course? Ronald Griessen Vrije Universiteit, Amsterdam AMOLF, May 4, 004 vrije Universiteit amsterdam Why study quantum mechanics? From Quantum to Matter: The main

More information

Coating Thickness and Composition Analysis by Micro-EDXRF

Coating Thickness and Composition Analysis by Micro-EDXRF Application Note: XRF Coating Thickness and Composition Analysis by Micro-EDXRF www.edax.com Coating Thickness and Composition Analysis by Micro-EDXRF Introduction: The use of coatings in the modern manufacturing

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

All answers must use the correct number of significant figures, and must show units!

All answers must use the correct number of significant figures, and must show units! CHEM 10113, Quiz 2 September 7, 2011 Name (please print) All answers must use the correct number of significant figures, and must show units! IA Periodic Table of the Elements VIIIA (1) (18) 1 2 1 H IIA

More information

histaris Inline Sputtering Systems

histaris Inline Sputtering Systems vistaris histaris Inline Sputtering Systems Inline Sputtering Systems with Vertical Substrate Transport Modular System for Different Applications VISTARIS Sputtering Systems The system with the brand name

More information

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Hannu Teisala a, Mikko Tuominen a, Mikko Aromaa b, Jyrki M. Mäkelä b, Milena Stepien c, Jarkko J. Saarinen c, Martti Toivakka c

More information

100% ionic compounds do not exist but predominantly ionic compounds are formed when metals combine with non-metals.

100% ionic compounds do not exist but predominantly ionic compounds are formed when metals combine with non-metals. 2.21 Ionic Bonding 100% ionic compounds do not exist but predominantly ionic compounds are formed when metals combine with non-metals. Forming ions Metal atoms lose electrons to form +ve ions. Non-metal

More information

Sustainable energy products Simulation based design for recycling

Sustainable energy products Simulation based design for recycling Sustainable energy products Simulation based design for recycling Markus A. Reuter (Prof. Dr. Dr. hc) Director: Technology Management, Outotec Oyj Aalto University (Finland), Central South University (China),

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Lead-Free Universal Solders for Optical and MEMS Packaging

Lead-Free Universal Solders for Optical and MEMS Packaging Lead-Free Universal Solders for Optical and MEMS Packaging Sungho Jin Univ. of California, San Diego, La Jolla CA 92093 OUTLINE -- Introduction -- Universal Solder Fabrication -- Microstructure -- Direct

More information

Discovery of Photocatalysts for Hydrogen Production

Discovery of Photocatalysts for Hydrogen Production Discovery of Photocatalysts for Hydrogen Production 2003 DOE Hydrogen Review May 20th, 2003 Berkeley, CA Theodore Mill, Albert Hirschon, Michael Coggiola and Brent MacQueen (PI), Menlo Park, CA Nobi Kambe,

More information

How MOCVD. Works Deposition Technology for Beginners

How MOCVD. Works Deposition Technology for Beginners How MOCVD Works Deposition Technology for Beginners Contents MOCVD for Beginners...3 MOCVD A Definition...4 Planetary Reactor Technology...5 Close Coupled Showerhead Technology...6 AIXTRON MOCVD Production

More information

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons D.Monaghan, V. Bellido-Gonzalez, M. Audronis. B. Daniel Gencoa, Physics Rd, Liverpool, L24 9HP, UK. www.gencoa.com,

More information

Light management for photovoltaics. Ando Kuypers, TNO Program manager Solar

Light management for photovoltaics. Ando Kuypers, TNO Program manager Solar Light management for photovoltaics Ando Kuypers, TNO Program manager Solar Global energy consumption: 500 ExaJoule/Year Solar irradiation on earth sphere: 5.000.000 ExaJoule/year 2 Capturing 0,01% covers

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Electronegativity and Polarity

Electronegativity and Polarity and Polarity N Goalby Chemrevise.org Definition: is the relative tendency of an atom in a molecule to attract electrons in a covalent bond to itself. is measured on the Pauling scale (ranges from 0 to

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

EXPERIMENT 4 The Periodic Table - Atoms and Elements

EXPERIMENT 4 The Periodic Table - Atoms and Elements EXPERIMENT 4 The Periodic Table - Atoms and Elements INTRODUCTION Primary substances, called elements, build all the materials around you. There are more than 109 different elements known today. The elements

More information

ELECTRON CONFIGURATION (SHORT FORM) # of electrons in the subshell. valence electrons Valence electrons have the largest value for "n"!

ELECTRON CONFIGURATION (SHORT FORM) # of electrons in the subshell. valence electrons Valence electrons have the largest value for n! 179 ELECTRON CONFIGURATION (SHORT FORM) - We can represent the electron configuration without drawing a diagram or writing down pages of quantum numbers every time. We write the "electron configuration".

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Thomas Waechtler a, Bernd Gruska b, Sven Zimmermann a, Stefan E. Schulz a, Thomas Gessner a a Chemnitz University

More information

Optical Disc and Solar Annual Press/Analyst Conference - March 26, 2010

Optical Disc and Solar Annual Press/Analyst Conference - March 26, 2010 SMART SOLUTIONS TO DRIVE THE FUTURE Optical Disc and Solar Annual Press/Analyst Conference - Dr. - Ing. Stefan Rinck AG Optical Disc & Solar - 2 - Optical Disc - Blu-ray Excellent starting position for

More information

Analyses on copper samples from Micans

Analyses on copper samples from Micans PDF rendering: DokumentID 1473479, Version 1., Status Godkänt, Sekretessklass Öppen Analyses on copper samples from Micans P. Berastegui, M. Hahlin, M. Ottosson, M. Korvela, Y. Andersson, R. Berger and

More information

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology Precision Fair 2013 Stand 171 Plasma Electronic is Partner of Tailor-Made Surfaces by Plasma Technology Dr. J. Geng, Plasma Electronic GmbH Modern Surface Technology in 1900 Overview A short introduction

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

CHAPTER 21 ELECTROCHEMISTRY

CHAPTER 21 ELECTROCHEMISTRY Chapter 21: Electrochemistry Page 1 CHAPTER 21 ELECTROCHEMISTRY 21-1. Consider an electrochemical cell formed from a Cu(s) electrode submerged in an aqueous Cu(NO 3 ) 2 solution and a Cd(s) electrode submerged

More information

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators Dr Peter Hockley and Professor Mike Thwaites, Plasma Quest Limited

More information

Standard Operation Procedure. Elemental Analysis of Solution samples with Inductively Coupled Plasma Mass Spectrometry

Standard Operation Procedure. Elemental Analysis of Solution samples with Inductively Coupled Plasma Mass Spectrometry Standard Operation Procedure Elemental Analysis of Solution samples with Inductively Coupled Plasma Mass Spectrometry Soil & Plant Analysis Laboratory University of Wisconsin Madison http://uwlab.soils.wisc.edu

More information

Quartz Glass. Tubes and Rods

Quartz Glass. Tubes and Rods Quartz Glass Tubes and Rods PH 300, GE 214, QI PN GVB Solutions in Glass Schlackstrasse 3 52080 Aachen Germany +49-241/9108588 +49-241/9108589 E- info@g-v-b.de www.g-v-b.de Table of contents General Information

More information

High performance. Architectural glazings utilise thin. low-emissivity coating. Coating technology

High performance. Architectural glazings utilise thin. low-emissivity coating. Coating technology Coating technology High performance low-emissivity coating Growing concern with energy efficiency has sparked the development of double low-emissivity coatings in architectural glass. BOC Coating has designed

More information

Chapter 8 - Chemical Equations and Reactions

Chapter 8 - Chemical Equations and Reactions Chapter 8 - Chemical Equations and Reactions 8-1 Describing Chemical Reactions I. Introduction A. Reactants 1. Original substances entering into a chemical rxn B. Products 1. The resulting substances from

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4 Wafer Manufacturing Reading Assignments: Plummer, Chap 3.1~3.4 1 Periodic Table Roman letters give valence of the Elements 2 Why Silicon? First transistor, Shockley, Bardeen, Brattain1947 Made by Germanium

More information

Silicon Wafer Solar Cells

Silicon Wafer Solar Cells Silicon Wafer Solar Cells Armin Aberle Solar Energy Research Institute of Singapore (SERIS) National University of Singapore (NUS) April 2009 1 1. PV Some background Photovoltaics (PV): Direct conversion

More information

CATALOGUE REFERENCE MATERIALS

CATALOGUE REFERENCE MATERIALS IPT Institute for Technological Research CATALOGUE REFERENCE MATERIALS 2014 Laboratory of Metrological References IPT s Reference Materials www.ipt.br/nmr.htm Av. Prof. Almeida Prado n0 532 Predio 31 Cidade

More information

ALD Atomic Layer Deposition

ALD Atomic Layer Deposition Research - Services ALD Atomic Layer Deposition Atomic Layer Deposition is a deposition process for assembling of thin films on the nanometer scale. The self-limiting deposition of atomic monolayers occurs

More information

ORTEC DET-SW-UPG. Latest Software Features. Ease of Use. Source Location with the Detective V3 Software

ORTEC DET-SW-UPG. Latest Software Features. Ease of Use. Source Location with the Detective V3 Software ORTEC DET-SW-UPG Latest Software Features Three Search Modes: Gamma/Neutron total count rate. SNM search mode. Sliding average "monitor" mode. (NEW) User choice of identification schemes: Classify mode

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Sputtering Targets for Microelectronics. Sputtering Targets for Semiconductor Applications

Sputtering Targets for Microelectronics. Sputtering Targets for Semiconductor Applications Sputtering Targets for Microelectronics Sputtering Targets for Semiconductor Applications Umicore Thin Film Products Umicore Thin Film Products, a globally active business unit within the Umicore Group,

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics

Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics Mark Imus Douglas Sisk, Ph.D., Mentor Marian High School RET Program University of Notre Dame Project Proposal Tunneling

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Introduction to ALD Lab Dresden and Atomic Layer Deposition

Introduction to ALD Lab Dresden and Atomic Layer Deposition Introduction to ALD Lab Dresden and Atomic Layer Deposition PROGRAM Introduction to ALD Lab Dresden and Atomic Layer Deposition PROGRAM 1. Introduction to Atomic Layer Deposition a. ALD Historical background

More information

Ultra-high Barrier Plastic. MSE5420 Flexible Electronics Martin Yan, GE Global Research

Ultra-high Barrier Plastic. MSE5420 Flexible Electronics Martin Yan, GE Global Research Ultra-high Barrier Plastic MSE5420 Flexible Electronics Martin Yan, GE Global Research Outline Introduction to plastic substrate and need for barrier Barrier technologies WVTR measurement technologies

More information

Aging of Zeolite SCR Catalysts

Aging of Zeolite SCR Catalysts 1 Diesel Aftertreatment Accelerated Aging Cycle Development (DAAAC) Aging of Zeolite Based SCR Systems Theodore M. Kostek Aging of Zeolite SCR Catalysts Zeolite structure Steps in SCR reaction Structure,

More information

Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014

Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014 Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014 Introduction Following our previous lab exercises, you now have the skills and understanding to control

More information

3. What would you predict for the intensity and binding energy for the 3p orbital for that of sulfur?

3. What would you predict for the intensity and binding energy for the 3p orbital for that of sulfur? PSI AP Chemistry Periodic Trends MC Review Name Periodic Law and the Quantum Model Use the PES spectrum of Phosphorus below to answer questions 1-3. 1. Which peak corresponds to the 1s orbital? (A) 1.06

More information

6.772/SMA5111 - Compound Semiconductors Lecture 1 - The Compound Semiconductor Palette - Outline Announcements

6.772/SMA5111 - Compound Semiconductors Lecture 1 - The Compound Semiconductor Palette - Outline Announcements 6.772/SMA5111 - Compound Semiconductors Lecture 1 - The Compound Semiconductor Palette - Outline Announcements Handouts - General Information; Syllabus; Lecture 1 Notes Why are semiconductors useful to

More information

Special materials. for Precision Optics & Laser Coatings. Oxides for Evaporation

Special materials. for Precision Optics & Laser Coatings. Oxides for Evaporation Special materials for Precision Optics & Laser Coatings Oxides for Evaporation Titanium oxides Highest refractive index of oxides in visible range AR and multilayer coatings on glass and polymers Best

More information

Longer lifetime for hydraulic oil

Longer lifetime for hydraulic oil Longer lifetime for hydraulic oil In many applications hydraulic oils and lubricating oils are exchanged with new oil after only some thousand hours of operation. Changing the oil causes high costs and

More information

Basic Properties and Application of Auto Enamels

Basic Properties and Application of Auto Enamels Basic Properties and Application of Auto Enamels Composition of Ceramic Automotive Glass Enamels Ceramic automotive glass colours are glass enamels that fire on to the glass during the bending process

More information

THIN FILM MATERIALS TECHNOLOGY

THIN FILM MATERIALS TECHNOLOGY THIN FILM MATERIALS TECHNOLOGY Sputtering of Compound Materials by Kiyotaka Wasa Yokohama City University Yokohama, Japan Makoto Kitabatake Matsushita Electric Industrial Co., Ltd. Kyoto, Japan Hideaki

More information

Ionic Bonding Pauling s Rules and the Bond Valence Method

Ionic Bonding Pauling s Rules and the Bond Valence Method Ionic Bonding Pauling s Rules and the Bond Valence Method Chemistry 754 Solid State Chemistry Dr. Patrick Woodward Lecture #14 Pauling Rules for Ionic Structures Linus Pauling,, J. Amer. Chem. Soc. 51,,

More information

Introduction to Thin Film Technology LOT. Chair of Surface and Materials Technology

Introduction to Thin Film Technology LOT. Chair of Surface and Materials Technology Introduction to Thin Film Introduction to Thin Film Verfahrenstechnik der Oberflächenmodifikationen Prof. Dr. Xin Jiang Lecture Institut für Werkstofftechnik der Uni-Siegen Sommersemester 2007 Introduction

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Ultra-High Density Phase-Change Storage and Memory

Ultra-High Density Phase-Change Storage and Memory Ultra-High Density Phase-Change Storage and Memory by Egill Skúlason Heated AFM Probe used to Change the Phase Presentation for Oral Examination 30 th of May 2006 Modern Physics, DTU Phase-Change Material

More information

8. Relax and do well.

8. Relax and do well. CHEM 1314 3:30 pm Section Exam II ohn II. Gelder October 16, 2002 Name TA's Name Lab Section INSTRUCTIONS: 1. This examination consists of a total of 8 different pages. The last three pages include a periodic

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Nuclear ZPE Tapping. Horace Heffner May 2007

Nuclear ZPE Tapping. Horace Heffner May 2007 ENERGY FROM UNCERTAINTY The uncertainty of momentum for a particle constrained by distance Δx is given, according to Heisenberg, by: Δmv = h/(2 π Δx) but since KE = (1/2) m v 2 = (1/(2 m) ) (Δmv) 2 ΔKE

More information

Chapter 11 PVD and Metallization

Chapter 11 PVD and Metallization Chapter 11 PVD and Metallization 2006/5/23 1 Metallization Processes that deposit metal thin film on wafer surface. 2006/5/23 2 1 Metallization Definition Applications PVD vs. CVD Methods Vacuum Metals

More information

Lecture 2 - Semiconductor Physics (I) September 13, 2005

Lecture 2 - Semiconductor Physics (I) September 13, 2005 6.012 - Microelectronic Devices and Circuits - Fall 2005 Lecture 2-1 Lecture 2 - Semiconductor Physics (I) September 13, 2005 Contents: 1. Silicon bond model: electrons and holes 2. Generation and recombination

More information

Lapping and Polishing Basics

Lapping and Polishing Basics Lapping and Polishing Basics Applications Laboratory Report 54 Lapping and Polishing 1.0: Introduction Lapping and polishing is a process by which material is precisely removed from a workpiece (or specimen)

More information

Multi-elemental determination of gasoline using Agilent 5100 ICP-OES with oxygen injection and a temperature controlled spray chamber

Multi-elemental determination of gasoline using Agilent 5100 ICP-OES with oxygen injection and a temperature controlled spray chamber Multi-elemental determination of gasoline using Agilent 5100 ICP-OES with oxygen injection and a temperature controlled spray chamber Application note Energy & chemicals, petrochemicals Authors Elizabeth

More information

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology General Plasma, Inc. 546 East 25th Street Tucson, Arizona 85713 tel. 520-882-5100 fax. 520-882-5165 and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology M.

More information

COATED CARBIDE. TiN. Al 2 O 3

COATED CARBIDE. TiN. Al 2 O 3 COATED CARBIDE GENERAL INFORMATION CVD = Chemical Vapour Deposition coated grades GC2015, GC2025, GC2135, GC235, GC3005, GC3015, GC3020, GC3025, GC3115, GC4015, GC4025, GC4035, S05F, and CD1810. PVD =

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Advanced Energy Storage Materials for Battery Applications. Advanced Materials December 12 th, 2012. Peter H.L. Notten

Advanced Energy Storage Materials for Battery Applications. Advanced Materials December 12 th, 2012. Peter H.L. Notten Advanced Energy Storage Materials for Battery Applications Advanced Materials December 12 th, 2012 Peter H.L. Notten Eindhoven University of Technology p.h.l.notten@tue.nl >> Focus on sustainability, innovation

More information

Sputtering Targets and Sputtered Films: Technology and Markets

Sputtering Targets and Sputtered Films: Technology and Markets A BCC Research Semiconductor Manufacturing Report and Sputtered Films: SMC037E Use this report to: Understand the most important advances in sputtering technology and target fabrication Identify the current

More information

Solutions without Boundaries. PCB Surface Finishes. Todd Henninger, C.I.D. Sr. Field Applications Engineer Midwest Region

Solutions without Boundaries. PCB Surface Finishes. Todd Henninger, C.I.D. Sr. Field Applications Engineer Midwest Region Solutions without Boundaries PCB Surface Finishes Todd Henninger, C.I.D. Sr. Field Applications Engineer Midwest Region 1 Notice Notification of Proprietary Information: This document contains proprietary

More information

Special materials for Precision Optics & Laser Coatings. Fluorides and Special Materials for IR coatings

Special materials for Precision Optics & Laser Coatings. Fluorides and Special Materials for IR coatings Special materials for Precision Optics & Laser Coatings Fluorides and Special Materials for IR coatings Umicore Thin Film Products Umicore Thin Film Products, a globally active business unit within the

More information

Production of ferrite nanopowders in radiofrequency thermal plasma

Production of ferrite nanopowders in radiofrequency thermal plasma Production of ferrite nanopowders in radiofrequency thermal plasma PhD Theses Loránd Gál Budapest, 2008 HAS Chemical Research Center Institute of Materials and Environmental Chemistry Department of Plasma

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Tableting Punch Performance Can Be Improved With Precision Coatings

Tableting Punch Performance Can Be Improved With Precision Coatings Tableting Punch Performance Can Be Improved With Precision Coatings by Arnold H. Deutchman, Ph. D. Director of Research and Development (614) 873-4529 X 114 adeutchman@beamalloy.net Mr. Dale C. Natoli

More information

SUS. Company Profile. Ulrich Nell, Feldstr.23, D - 46149 Oberhausen, Tel. 0049(0)208/658535 Fax 0049(0)208/658536

SUS. Company Profile. Ulrich Nell, Feldstr.23, D - 46149 Oberhausen, Tel. 0049(0)208/658535 Fax 0049(0)208/658536 SUS Ulrich Nell, Feldstr.23, D - 46149 Oberhausen, Tel. 0049(0208/658535 Fax 0049(0208/658536 Company Profile SUS was founded in 1986 in Oberhausen in the Ruhr area (close to Düsseldorf, in order to meet

More information

It takes four quantum numbers to describe an electron. Additionally, every electron has a unique set of quantum numbers.

It takes four quantum numbers to describe an electron. Additionally, every electron has a unique set of quantum numbers. So, quantum mechanics does not define the path that the electron follows; rather, quantum mechanics works by determining the energy of the electron. Once the energy of an electron is known, the probability

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

How do single crystals differ from polycrystalline samples? Why would one go to the effort of growing a single crystal?

How do single crystals differ from polycrystalline samples? Why would one go to the effort of growing a single crystal? Crystal Growth How do single crystals differ from polycrystalline samples? Single crystal specimens maintain translational symmetry over macroscopic distances (crystal dimensions are typically 0.1 mm 10

More information