Chapter 11 PVD and Metallization

Size: px
Start display at page:

Download "Chapter 11 PVD and Metallization"

Transcription

1 Chapter 11 PVD and Metallization 2006/5/23 1 Metallization Processes that deposit metal thin film on wafer surface. 2006/5/23 2 1

2 Metallization Definition Applications PVD vs. CVD Methods Vacuum Metals Processes Future Trends 2006/5/23 3 Wafer Process Flow Materials IC Fab Metalization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography Final Test Design 2006/5/23 4 2

3 Physical Vapor Deposition 2006/5/23 5 PVD Vaporizing solid materials Heating or sputtering Condensing vapor on the substrate surface Very important part of metallization Methods Evaporation Sputtering 2006/5/23 6 3

4 PVD Methods: Evaporation Filaments Flash hot plate Electron beam 2006/5/23 7 Thermal Evaporator Wafers Aluminum Charge Aluminum Vapor 10-6 Torr To Pump High Current Source 2006/5/23 8 4

5 Electron Beam Evaporator Wafers Aluminum Charge 10-6 Torr Aluminum Vapor Electron Beam To Pump Power Supply 2006/5/23 9 PVD Methods: Sputtering DC Diode (simplest form) RF Diode DC Magnetron (most popular) 2006/5/

6 Sputtering Ar + Momentum transfer will dislodge surface atoms off 2006/5/23 11 DC Diode Sputtering Target - V Argon Plasma Wafer Chuck Metal film Wafer 2006/5/

7 Schematic of Magnetron Sputtering Magnets Target Higher plasma density Magnetic field line Erosion grove 2006/5/23 13 Magnetron Sputtering Most widely used PVD system More sputter from grove Better WTW uniformity cross wafer High deposition rate Good step coverage Good process (thickness) control 2006/5/

8 PVD Chamber with Shield Shield, Liner Wafer Target Wafer Chuck 2006/5/23 15 Sputtering vs. Evaporator Sputtering Evaporator Purer film Better uniformity Single wafer, better process control Larger size wafer More impurities Batch process Cheaper tool 2006/5/

9 PVD Vacuum Requirement Residue gases on the vacuum chamber wall H 2 O formation, Water can react with Al to form Al 2 O 3 Affects conductivity of interconnections Only way to get rid of H 2 O: reach ultra high vacuum, 10-9 Torr 2006/5/23 17 PVD Vacuum Requirement Cluster tool Staged vacuum Loading station: 10 6 Torr Transfer chamber: 10 7 to 10 8 Torr Deposition chamber: 10 9 Torr 2006/5/

10 PVD Vacuum: Pumps Wet pump (oil diffusion pump): atm to 10-3 Torr, phasing out from fabs. Rough (mechanical) pump: atm to 10-5 Torr Turbo pump: 10-2 to 10-7 Torr Cryo pump: to Torr Ion pump: to Torr 2006/5/23 19 PVD Target Endura PVD System PVD Chamber CVD Chamber 2006/5/

11 PVD vs. CVD CVD: Chemical reaction on the surface PVD: No chemical reaction on the surface CVD: Better step coverage (50% to ~100%) and gap fill capability PVD: Poor step coverage (~ 15%) and gap fill capability 2006/5/23 21 PVD vs. CVD PVD: higher quality, purer deposited film, higher conductivity, easy to deposit alloys CVD: always has impurity in the film, lower conductivity, hard to deposit alloys 2006/5/

12 Contact/Via Process Degas Pre-clean Ti PVD TiN PVD TiN CVD N 2 -H 2 plasma treatment W CVD 2006/5/23 23 Aluminum Interconnection Process Degas Pre-clean Ti PVD Al-Cu PVD TiN PVD 2006/5/

13 Degas Heat wafer to drive away gases and moisture on wafer surface Outgassing can cause contamination and high resistivity of deposited metal film 2006/5/23 25 Pre-clean Remove the native oxide Reduce the contact resistance Sputtering with argon ions RF plasma 2006/5/

14 Pre-clean Process Argon Plasma Ar + Native Oxide Metal 2006/5/23 27 Titanium PVD Reduce contact resistance Larger grain size with low resistivity Wafer normally is heated to about 350 C during the deposition process Improve the surface mobility Improve step coverage 2006/5/

15 Collimated Sputtering Used for Ti and TiN deposition Collimator allows metal atoms or molecules to move mainly in vertical direction Reach the bottom of narrow contact/via holes Improves bottom step coverage 2006/5/23 29 Collimated Sputtering Magnets Target Plasma Collimator Film Via holes 2006/5/

16 Metal Plasma System Ti, TiN, Ta, and TaN deposition Ionize metal atoms through inductive coupling of RF power in the RF coil Positive metal ions impact with the negatively charged wafer surface vertically Improving bottom step coverage Reduce contact resistance 2006/5/23 31 Ionized Metal Plasma V Target Inductive Coils Plasma Via Hole RF 2006/5/

17 TiSi 2 n + Titanium Nitride PVD Reactive sputtering process Ar and N 2 N 2 molecules dissociate in plasma Free nitrogen radicals react with Ti to form a thin layer of TiN on target surface. Argon ions sputter the TiN from the target surface and deposit it on the wafer surface 2006/5/23 33 Three Applications of TiN TiN ARC, PVD Al-Cu TiN, PVD W PSG TiN glue layer, PVD & CVD 2006/5/

18 Al-Cu PVD Ultra high vacuum to remove moisture and achieve low film resistivity. Cluster tool with staged vacuum dry pumps, turbo pumps and cryopump A cryopump can help a PVD chamber to reach up to Torr base pressure by freezing the residue gases in a frozen trap 2006/5/23 35 Al-Cu PVD Standard process and hot aluminum process Standard process: Al-Cu deposition over tungsten plug after Ti and TiN deposition Normally deposit at ~ 200 C Smaller grain size, easier to etch Metal annealing to form larger grain size lower resistivity high electromigration resistance (EMR) 2006/5/

19 Al-Cu PVD Hot aluminum process To fill contact and via holes with Al instead of W plug, thus reducing contact resistance Several process steps: Ti deposition Al-Cu seed layer is deposited at low <200 C Bulk Al-Cu layer is deposited at higher temperatures (450 C to 500 C) 2006/5/23 37 Applications Interconnection Gate and electrodes Micro-mirror Fuse 2006/5/

20 CMOS: Standard Metallization W Ti/TiN TiN, ARC Metal 1, Al Cu BPSG TiSi 2 STI n + n + USG p + p + P-Well N-Well P-epi P-wafer 2006/5/23 39 Applications: Interconnection 2006/5/

21 Applications: Interconnection Dominate the metallization processes Al-Cu alloy is most commonly used W plug, technology of 80s and 90s Ti as welding layer TiN : barrier, adhesion and ARC layers The future is --- Cu! 2006/5/23 41 Applications: Gate and Electrode Al gate and electrode Polysilicon replace Al as gate material Silicide WSi 2 TiSi 2 CoSi 2, MoSi 2, TaSi 2, Pt, Au, as electrode for DRAM capacitors 2006/5/

22 Q & A Can we reduce all dimensions of metal interconnection line at the same ratio? R= l/wh. When we shrink all dimensions (length l, width w, and height h) accordingly to the shrinking of the device feature size, resistance R increases, Slower circuit and more power consumption 2006/5/23 43 Conducting Thin Films 2006/5/

23 Conducting Thin Films Polysilicon Silicides Aluminum alloy Titanium Titanium Nitride Tungsten Copper Tantalum 2006/5/23 45 Polysilicon Gates and local interconnections Replaced aluminum since mid-1970s High temperature stability Required for post implantation anneal process Al gate can not use form self-aligned source/drain Heavily doped LPCVD in furnace 2006/5/

24 Silicide Much lower resistivity than polysilicon TiSi 2, WSi 2, and CoSi 2 are commonly used 2006/5/23 47 Silicide TiSi 2 and CoSi 2 Argon sputtering removes the native oxide Ti or Co deposition Annealing process forms silicide Ti or Co don t react with SiO 2, silicide is formed at where silicon contacts with Ti or Co Wet strips unreacted Ti or Co Optional second anneal to increase conductivity 2006/5/

25 Self-aligned Titanium Silicide Formation Ti Ti Polysilicon gate TiSi 2 Polysilicon gate TiSi2 TiSi 2 Polysilicon gate TiSi2 n - Gate oxide n - n + n + n - n - n + Gate oxide n + n - n - n + Gate oxide n + Titanium deposition Silicide annealing Titanium wet striping 2006/5/23 49 Tungsten Silicide Thermal CVD process WF 6 as the tungsten precursor SiH 4 as the silicon precursor. Polycide stack is etched Fluorine chemistry etches WSi x Chlorine chemistry etches polysilicon Photoresist stripping RTA increases grain size and conductivity 2006/5/

26 Aluminum Most commonly used metal The fourth best conducting metal Silver 1.6 cm Copper 1.7 cm Gold silver 2.2 cm Aluminum 2.65 cm It was used for gate before mid /5/23 51 Aluminum-Silicon Alloy Al make direct contact with Si at source/drain Si dissolves in Al and Al diffuses into Si Junction spike Aluminum spikes punctuate doped junction Short source/drain with the substrate ~1% of Si alloyed in Al can stop this! Thermal anneal at 400 C to form Si-Al alloy at the silicon-aluminum interface 2006/5/

27 Al-Si Junction Spike Al SiO 2 Al Al p + p + n-type Silicon 2006/5/23 53 Electromigration Aluminum is a polycrystalline material Many mono-crystalline grains Current flows through an aluminum line Electrons constantly bombards the grains Smaller grains will start to move This effect is called electromigration 2006/5/

28 Electromigration Electromigration tear the metal line apart Higher current density in the remaining line Aggravates the electron bombardment Causes further aluminum grain migration Eventually will break metal lines Affect the IC chip reliability Aluminum wires: fire hazard of old houses 2006/5/23 55 Electromigration Prevention When a small percent of copper is alloyed with aluminum, electromigration resistance of aluminum significantly improved Copper serves as glue between the aluminum grains and prevent them from migrating due to the electron bombardment Al-Si-Cu alloy was used before Currently Al-Cu (0.5%) is very commonly 2006/5/

29 Aluminum Alloy Deposition PVD Sputtering Evaporation CVD Thermal Electron beam Dimethylaluminum hydride [DMAH, Al(CH 3 ) 2 H] Thermal process 2006/5/23 57 Titanium Applications Silicide formation Titanium nitridation Wetting layer Welding layer 2006/5/

30 Welding Layer Reduce contact resistance. Titanium scavenges oxygen atoms Prevent forming high resistivity WO 4 and Al 2 O 3. Use with TiN as diffusion barrier layer Prevent tungsten from diffusing into substrate 2006/5/23 59 Applications of Titanium Al-Cu Ti W PSG Ti TiSi 2 n /5/

31 Barrier layer Titanium Nitride prevents tungsten diffusion Adhesion layer help tungsten to stick on silicon oxide surface Anti-reflection coating (ARC) reduce reflection and improve photolithography resolution in metal patterning process prevent hillock and control electromigration Both PVD and CVD 2006/5/23 61 Tungsten Metal plug in contact and via holes contact holes become smaller and narrower PVD Al alloy: bad step coverage and void CVD W: excellent step coverage and gap fill higher resistivity: 8.0 to 12 cm compare to PVD Al alloy (2.9 to 3.3 cm) only used for local interconnections and plugs 2006/5/

32 Evolution of Contact Processes Al Si Cu Al Si Cu Void SiO 2 SiO 2 Al Cu W SiO 2 Si Widely tapered contact hole, PVD metal fill Si Narrow contact hole, void with PVD metal fill Si Narrow contact hole, WCVD for tungsten plug 2006/5/23 63 W Plug and TiN/Ti Barrier/Adhesion Layer Tungsten TiN/Ti Oxide 2006/5/

33 Pros : Copper Low resistivity (1.7 cm), lower power consumption and higher IC speed High electromigration resistance better reliability Cons : Poor adhesion with silicon dioxide Highly diffusive in Si, heavy metal contamination Very hard to dry etch copper-halogen have very low volatility 2006/5/23 65 Copper Deposition Process 1. PVD of seed layer 2. ECP or CVD bulk layer (later slides) 3. Thermal anneal after bulk copper deposition increase the grain size improving conductivity 2006/5/

34 Tantalum Barrier layer - prevent copper diffusion into silicon substrate Better partner with copper than Ti or TiN Sputtering deposition 2006/5/23 67 Cobalt Mainly used for cobalt silicide (CoSi 2 ) for gate or local interconnection. Normally deposited with a sputtering process 2006/5/

35 Metal Thin Film Characteristics 2006/5/23 69 Metal Thin Film Characteristics Thickness. Stress Reflectivity Sheet resistance 2006/5/

36 Thickness Measurement of Metal Thin Film TEM and SEM Profilometer 4-point probe Reflectospectrometer Acoustic measurement 2006/5/ Profilometer Thicker film (> 1000 Å), Patterned etch process prior to measurement Stylus probe senses and records microscopic surface profile Known as -step 2006/5/

37 Schematic of Stylus Profilometer Stylus Film Substrate Stage Profile Signal Film Thickness 2006/5/ Four-point Probe Normally measure sheet resistance Commonly used to monitor the metal film thickness by assuming the resistivity of the metal film is a constant all over the wafer surface 2006/5/

38 3. Acoustic Measurement New technique Directly measure opaque thin film thickness Non-contact process, can be used for production wafer 2006/5/23 75 Acoustic Measurement Laser shots on thin film surface Photo-detector measures reflected intensity 0.1 ps laser pulse heat the spot up 5 to 10 C Thermal expansion causes a sound wave It propagates in the film and reflects at the interface of different materials The echo causes reflectivity change when it reaches the thin film surface. 2006/5/

39 Acoustic Method Measurement Pump laser Reflection detector TiN TEOS SiO 2 Echoing acoustic wave d = v s t/2 Change of reflectivity First echo Second echo t t Third echo Time (psec) 2006/5/23 77 Acoustic Measurement Acoustic wave echoes back and forth in film The film thickness can be calculated by d = V s t/2 V s is speed of sound and t is time between reflectivity peaks The decay rate the echo is related to the film density. Also used for multi-layer film thickness 2006/5/

40 Uniformity The uniformity, in fact it is non-uniformity, of the thickness, sheet resistance, and reflectivity are routinely measured during the process development and for the process maintenance. It can be calculated by measuring at multiple locations on a wafer 2006/5/23 79 Mapping Patterns for Uniformity Measurement /5/

41 Uniformity Most commonly used non-uniformity definition: 49-point, 3 standard deviation Clearly define non-uniformity For the same set of data, different definitions causes different results 5-point and 9-point are commonly used in production for process monitoring 2006/5/23 81 Stress Caused by mismatching between film and substrate Compressive and tensile High compressive stress causes hillocks short metal wires between different layers High tensile stress causes cracks or peels Intrinsic stress and thermal stress are commonly discussed 2006/5/

42 Compressive Stress Causes Hillock Force Metal Substrate Force 2006/5/23 83 Tensile Stress Causes Crack Force Metal Substrate Force 2006/5/

43 Al Stress Aluminum has higher thermal expansion rate than silicon Al = K, Si = K It favors tensile stress at room temperature Stress becomes less tensile when wafer is heated up later, e.g. metal annealing (~ 450 C) dielectric deposition (~ 400 C) 2006/5/23 85 Reflectivity Reflectivity change indicates drift of process A function of film grain size and surface smoothness Larger grain size film has lower reflectivity; smoother metal surface has higher reflectivity Easy, quick and non-destructive High reflectivity causes standing wave effect Anti-reflection coating (ARC) is required, Typically the TiN film 2006/5/

44 Sheet Resistance Sheet resistance (R s ) is a defined parameter R s = /t By measuring R s, one can calculate film resistivity ( ) if film thickness t is known, or film thickness if its resistivity is known 2006/5/23 87 Resistance of a Metal Line L I A R = L A R = Resistance, = Resistivity L = Length, A = Area of line cross-section 2006/5/

45 Sheet Resistance Concepts L t w I Apply current I and measure voltage V, Resistance: R = V/I = L/(wt) For a square sheet, L = w, so R = /t = R s Unit of R s : ohms per square ( / ) 2006/5/23 89 Sheet Resistance Are you sure their resistance is the same? I I R s = /t R s = /t 2006/5/

46 Sheet Resistance For this two conducting lines patterned from the same metal thin film with the same length-towidth ratios, are their line resistance the same? Yes. 2006/5/23 91 Four-Point Probe Measurement I V P 1 P 2 P 3 P 4 S 1 S 2 S 3 Film Substrate 2006/5/

47 Four-point Probe Commonly used tool for sheet resistance A current is applied between two pins and voltage is measured between other two pins If current I is between P 1 and P 4, R s = 4.53 V/I, V is voltage between P 2 and P 3 If current I is between P 1 and P 3, R s = 5.75 V/I, V is voltage between R 2 and R 4 Both configurations are used in measurement 2006/5/23 93 Copper Metallization 2006/5/

48 Copper Technology Better conductor than aluminum Higher speed and less power consumption Higher electromigration resistance Diffusing freely in silicon and silicon dioxide, causing heavy metal contamination, need diffusion barrier layer Hard to dry etch, no simple gaseous chemical compounds 2006/5/23 95 Copper Technology Dual Damascene process with CMP Ta and/or TaN as barrier layer Start using in IC fabrication 2006/5/

49 Copper Process Pre-deposition clean PVD barrier layer (Ta or TaN, or both) PVD copper seed layer Electrochemical plating bulk copper layer Thermal anneal to improve conductivity 2006/5/23 97 Etch trenches and via holes SiN FSG FSG FSG Cu Cu 2006/5/

50 Tantalum Barrier Layer and Copper Seed Layer Deposition Cu Ta SiN FSG FSG FSG Cu Cu 2006/5/23 99 Electrochemical Plating Copper Cu Ta SiN FSG FSG FSG Cu Cu 2006/5/

51 CMP Copper and Tantalum, CVD Nitride Ta SiN SiN FSG Cu FSG Cu Cu 2006/5/ Copper Metallization Ti/TiN SiN CoSi 2 Ta or TaN M1 Cu FSG Cu Cu FSG W PSG W n + n + STI USG p + p + P-Well N-Well P-Epi P-Wafer 2006/5/

52 Summary Mainly application: interconnection CVD (W, TiN, Ti) and PVD (Al-Cu, Ti, TiN) Al-Cu alloy is still dominant Need UHV for Al-Cu PVD W used as plug Ti used as welding layer TiN: barrier, adhesion and ARC layers The future: Cu and Ta/TaN 2006/5/

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Chapter 10 CVD and Dielectric Thin Film

Chapter 10 CVD and Dielectric Thin Film Chapter 10 CVD and Dielectric Thin Film 2006/5/23 1 Objectives Identify at least four CVD applications Describe CVD process sequence List the two deposition regimes and describe their relation to temperature

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Chapter 12. Chemical Mechanical Polishing

Chapter 12. Chemical Mechanical Polishing Chapter 12 Chemical Mechanical Polishing 1 Objectives List applications of CMP Describe basic structure of a CMP system Describe slurries for oxide and metal CMP Describe oxide CMP process. Describe metal

More information

Electron Beam and Sputter Deposition Choosing Process Parameters

Electron Beam and Sputter Deposition Choosing Process Parameters Electron Beam and Sputter Deposition Choosing Process Parameters General Introduction The choice of process parameters for any process is determined not only by the physics and/or chemistry of the process,

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators Dr Peter Hockley and Professor Mike Thwaites, Plasma Quest Limited

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

Our Embedded Dream of the Invisible Future

Our Embedded Dream of the Invisible Future Our Embedded Dream of the Invisible Future Since the invention of semiconductor chips, the evolution of mankind s culture, society and lifestyle has accelerated at a pace never before experienced. Information

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Deposition Overview for Microsytems

Deposition Overview for Microsytems Deposition Overview for Microsytems Deposition PK Activity Terminology Participant Guide www.scme-nm.org Deposition Overview for Microsystems Primary Knowledge Participant Guide Description and Estimated

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons D.Monaghan, V. Bellido-Gonzalez, M. Audronis. B. Daniel Gencoa, Physics Rd, Liverpool, L24 9HP, UK. www.gencoa.com,

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

The MOSFET Transistor

The MOSFET Transistor The MOSFET Transistor The basic active component on all silicon chips is the MOSFET Metal Oxide Semiconductor Field Effect Transistor Schematic symbol G Gate S Source D Drain The voltage on the gate controls

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

State of the art in reactive magnetron sputtering

State of the art in reactive magnetron sputtering State of the art in reactive magnetron sputtering T. Nyberg, O. Kappertz, T. Kubart and S. Berg Solid State Electronics, The Ångström Laboratory, Uppsala University, Box 534, S-751 21 Uppsala, Sweden D.

More information

Coating Thickness and Composition Analysis by Micro-EDXRF

Coating Thickness and Composition Analysis by Micro-EDXRF Application Note: XRF Coating Thickness and Composition Analysis by Micro-EDXRF www.edax.com Coating Thickness and Composition Analysis by Micro-EDXRF Introduction: The use of coatings in the modern manufacturing

More information

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com Introduction to Semiconductor Manufacturing Technology Chapter 1, Introduction Hong Xiao, Ph. D. hxiao89@hotmail.com Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objective After taking this

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Thomas Waechtler a, Bernd Gruska b, Sven Zimmermann a, Stefan E. Schulz a, Thomas Gessner a a Chemnitz University

More information

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS Vojtěch SVATOŠ 1, Jana DRBOHLAVOVÁ 1, Marian MÁRIK 1, Jan PEKÁREK 1, Jana CHOMOCKÁ 1,

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Reactive Sputtering Using a Dual-Anode Magnetron System

Reactive Sputtering Using a Dual-Anode Magnetron System Reactive Sputtering Using a Dual-Anode Magnetron System A. Belkind and Z. Zhao, Stevens Institute of Technology, Hoboken, NJ; and D. Carter, G. McDonough, G. Roche, and R. Scholl, Advanced Energy Industries,

More information

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2 Solid State Phenomena Vol. 134 (2008) pp 359-362 Online available since 2007/Nov/20 at www.scientific.net (2008) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.134.359 Metal Hard

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z The ALD Powerhouse Picosun Defining the future of ALD Picosun s history and background date back to the very beginning of the field of atomic layer deposition. ALD was invented in Finland in 1974 by Dr.

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Deposition of Thin Metal Films " (on Polymer Substrates)!

Deposition of Thin Metal Films  (on Polymer Substrates)! Deposition of Thin Metal Films " (on Polymer Substrates)! Shefford P. Baker! Cornell University! Department of Materials Science and Engineering! Ithaca, New York, 14853! MS&E 5420 Flexible Electronics,

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

THIN FILM MATERIALS TECHNOLOGY

THIN FILM MATERIALS TECHNOLOGY THIN FILM MATERIALS TECHNOLOGY Sputtering of Compound Materials by Kiyotaka Wasa Yokohama City University Yokohama, Japan Makoto Kitabatake Matsushita Electric Industrial Co., Ltd. Kyoto, Japan Hideaki

More information

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 16, No. 2, pp. 90-94, April 25, 2015 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2015.16.2.90 OAK Central:

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

How compact discs are made

How compact discs are made How compact discs are made Explained by a layman for the laymen By Kevin McCormick For Science project at the Mountain View Los Altos High School Abstract As the major media for music distribution for

More information

High power picosecond lasers enable higher efficiency solar cells.

High power picosecond lasers enable higher efficiency solar cells. White Paper High power picosecond lasers enable higher efficiency solar cells. The combination of high peak power and short wavelength of the latest industrial grade Talisker laser enables higher efficiency

More information

How To Implant Anneal Ion Beam

How To Implant Anneal Ion Beam ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING MEMS Ion Implant Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

Secondary Ion Mass Spectrometry

Secondary Ion Mass Spectrometry Secondary Ion Mass Spectrometry A PRACTICAL HANDBOOK FOR DEPTH PROFILING AND BULK IMPURITY ANALYSIS R. G. Wilson Hughes Research Laboratories Malibu, California F. A. Stevie AT&T Bell Laboratories Allentown,

More information

High performance. Architectural glazings utilise thin. low-emissivity coating. Coating technology

High performance. Architectural glazings utilise thin. low-emissivity coating. Coating technology Coating technology High performance low-emissivity coating Growing concern with energy efficiency has sparked the development of double low-emissivity coatings in architectural glass. BOC Coating has designed

More information

Electroplating with Photoresist Masks

Electroplating with Photoresist Masks Electroplating with Photoresist Masks Revised: 2014-01-17 Source: www.microchemicals.com/downloads/application_notes.html Electroplating - Basic Requirements on the Photoresist Electroplating with photoresist

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Dry Etch Process Application Note

Dry Etch Process Application Note G-106-0405 pplication ulletin Dry Etch Process pplication Note nthony Ricci Etch Process Overview The etching process removes selected areas from wafer substrates. The two types of etching processes used

More information

FEATURES AND BENEFITS OF DIFFERENT PLATINUM ALLOYS. Kris Vaithinathan and Richard Lanam Engelhard Corporation

FEATURES AND BENEFITS OF DIFFERENT PLATINUM ALLOYS. Kris Vaithinathan and Richard Lanam Engelhard Corporation FEATURES AND BENEFITS OF DIFFERENT PLATINUM ALLOYS Kris Vaithinathan and Richard Lanam Engelhard Corporation Introduction There has been a significant increase in the world wide use of platinum for jewelry

More information

Plasma Cleaner: Physics of Plasma

Plasma Cleaner: Physics of Plasma Plasma Cleaner: Physics of Plasma Nature of Plasma A plasma is a partially ionized gas consisting of electrons, ions and neutral atoms or molecules The plasma electrons are at a much higher temperatures

More information

Balzers Sputter Coater SCD 050

Balzers Sputter Coater SCD 050 Balzers Sputter Coater SCD 050 The SCD 050 is a bench top, sputter deposition system designed for thin films on substrates up to 6 inches. Morphology and thickness is user controlled using power, pressure,

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Vacuum Pumping of Large Vessels and Modelling of Extended UHV Systems

Vacuum Pumping of Large Vessels and Modelling of Extended UHV Systems Vacuum Pumping of Large Vessels and Modelling of Extended UHV Systems Georgy L. Saksaganski D.V. Efremov Institute, St Petersburg, Russia gruss@niiefa.spb.su An overview of the methods for reducing of

More information

Fabrication and Characterization of Schottky Diode

Fabrication and Characterization of Schottky Diode Fabrication and Characterization of Schottky Diode Arnab Dhabal Acknowledgements I would like to express my greatest gratitude to the people who have helped and supported me in this project. I wish to

More information

Challenge of Applying Ohmic Contacts to Gallium Arsenide Devices: In-Depth Look

Challenge of Applying Ohmic Contacts to Gallium Arsenide Devices: In-Depth Look Challenge of Applying Ohmic Contacts to Gallium Arsenide Devices: In-Depth Look Metal-to-semiconductor (M-S) contacts are present in every semiconductor device. Lowresistance, stable contacts are critical

More information

Nanotechnologies for the Integrated Circuits

Nanotechnologies for the Integrated Circuits Nanotechnologies for the Integrated Circuits September 23, 2015 Dr. Bertrand Cambou Professor of Practice NAU, Cybersecurity School of Informatics, Computing, and Cyber-Systems Agenda The Market Silicon

More information

How To Make A Plasma Control System

How To Make A Plasma Control System XXII. Erfahrungsaustausch Mühlleiten 2015 Plasmaanalyse und Prozessoptimierung mittels spektroskopischem Plasmamonitoring in industriellen Anwendungen Swen Marke,, Lichtenau Thomas Schütte, Plasus GmbH,

More information

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology Precision Fair 2013 Stand 171 Plasma Electronic is Partner of Tailor-Made Surfaces by Plasma Technology Dr. J. Geng, Plasma Electronic GmbH Modern Surface Technology in 1900 Overview A short introduction

More information

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include:

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include: CVD SILICON CARBIDE CVD SILICON CARBIDE is the ideal performance material for design engineers. It outperforms conventional forms of silicon carbide, as well as other ceramics, quartz, and metals in chemical

More information

LASER CUTTING OF STAINLESS STEEL

LASER CUTTING OF STAINLESS STEEL LASER CUTTING OF STAINLESS STEEL Laser inert gas cutting is the most applicable process type used for cutting of stainless steel. Laser oxygen cutting is also applied in cases where the cut face oxidation

More information

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M Miniaturizing Flexible Circuits for use in Medical Electronics Nate Kreutter 3M Drivers for Medical Miniaturization Market Drivers for Increased use of Medical Electronics Aging Population Early Detection

More information

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004 How to Build a Printed Circuit Board 1 This presentation is a work in progress. As methods and processes change it will be updated accordingly. It is intended only as an introduction to the production

More information

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology General Plasma, Inc. 546 East 25th Street Tucson, Arizona 85713 tel. 520-882-5100 fax. 520-882-5165 and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology M.

More information

PLASMA TECHNOLOGY OVERVIEW

PLASMA TECHNOLOGY OVERVIEW PLASMA TECHNOLOGY OVERVIEW Plasmas are not a lab curiosity. Plasma processing has been an essential production tool for more than 30 years in the fabrication of microelectronic devices for example. Over

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Development of certified reference material of thin film for thermal diffusivity

Development of certified reference material of thin film for thermal diffusivity Development of certified reference material of thin film for thermal diffusivity Takashi Yagi, Thermophysical properties section, NMIJ/AIST Joshua Martin MML, National Institute of Standards and Technology

More information

Planar Magnetron Sputtering Sources

Planar Magnetron Sputtering Sources Planar Magnetron puttering ources INTRODUCTION TABLE OF CONTENT PAGE Introduction........................ 2 MAK 1.3...........................3 MAK 2............................4 MAK 3............................5

More information

INTRODUCTION TO ION IMPLANTATION Dr. Lynn Fuller, Dr. Renan Turkman Dr Robert Pearson

INTRODUCTION TO ION IMPLANTATION Dr. Lynn Fuller, Dr. Renan Turkman Dr Robert Pearson Ion Implantation ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING INTRODUCTION TO ION IMPLANTATION Dr. Lynn Fuller, Dr. Renan Turkman Dr Robert Pearson Webpage: http://people.rit.edu/lffeee

More information

Flex Circuit Design and Manufacture.

Flex Circuit Design and Manufacture. Flex Circuit Design and Manufacture. Hawarden Industrial Park, Manor Lane, Deeside, Flintshire, CH5 3QZ Tel 01244 520510 Fax 01244 520721 Sales@merlincircuit.co.uk www.merlincircuit.co.uk Flex Circuit

More information

CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS

CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS 5.1 INTRODUCTION The manufacturing plant considered for analysis, manufactures Printed Circuit Boards (PCB), also called Printed Wiring Boards (PWB), using

More information

Chapter 5 - Aircraft Welding

Chapter 5 - Aircraft Welding Chapter 5 - Aircraft Welding Chapter 5 Section A Study Aid Questions Fill in the Blanks 1. There are 3 types of welding:, and, welding. 2. The oxy acetylene flame, with a temperature of Fahrenheit is produced

More information

Lecture 9. Surface Treatment, Coating, Cleaning

Lecture 9. Surface Treatment, Coating, Cleaning 1 Lecture 9. Surface Treatment, Coating, Cleaning These processes are sometimes referred to as post-processing. They play a very important role in the appearance, function and life of the product. Broadly,

More information

Sputtering Targets for Microelectronics. Sputtering Targets for Semiconductor Applications

Sputtering Targets for Microelectronics. Sputtering Targets for Semiconductor Applications Sputtering Targets for Microelectronics Sputtering Targets for Semiconductor Applications Umicore Thin Film Products Umicore Thin Film Products, a globally active business unit within the Umicore Group,

More information

CRYSTAL DEFECTS: Point defects

CRYSTAL DEFECTS: Point defects CRYSTAL DEFECTS: Point defects Figure 10.15. Point defects. (a) Substitutional impurity. (b) Interstitial impurity. (c) Lattice vacancy. (d) Frenkeltype defect. 9 10/11/004 Ettore Vittone- Fisica dei Semiconduttori

More information

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets.

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets. INF4420 Layout and CMOS processing technology Spring 2012 1 / 76 Outline CMOS Fabrication overview Design rules Layout of passive and active componets Packaging 2 / 76 Introduction As circuit designers

More information

Printed Circuits. Danilo Manstretta. microlab.unipv.it/ danilo.manstretta@unipv.it. AA 2012/2013 Lezioni di Tecnologie e Materiali per l Elettronica

Printed Circuits. Danilo Manstretta. microlab.unipv.it/ danilo.manstretta@unipv.it. AA 2012/2013 Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Printed Circuits Danilo Manstretta microlab.unipv.it/ danilo.manstretta@unipv.it Printed Circuits Printed Circuits Materials Technological steps Production

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

Autogenous Laser Welding of Aluminum

Autogenous Laser Welding of Aluminum Hans Leidich OEM Laser Specialist Laser Technology Center TRUMPF Inc. Why Autogenous Simpler Less hardware Easier process Faster speed Should be first approach (if doesn t work, then go to the next step)

More information

By Randy Heckman, Gregory Roche, James R. Usher of Advanced Energy Industries, Inc.

By Randy Heckman, Gregory Roche, James R. Usher of Advanced Energy Industries, Inc. WHITEPAPER By Randy Heckman, Gregory Roche, James R. Usher of Advanced Energy Industries, Inc. THE EVOLUTION OF RF POWER DELIVERY IN Radio frequency (RF) technology has been around since the beginnings

More information

VLSI Fabrication Process

VLSI Fabrication Process VLSI Fabrication Process Om prakash 5 th sem ASCT, Bhopal omprakashsony@gmail.com Manisha Kumari 5 th sem ASCT, Bhopal Manisha2686@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This

More information

Surface activation of plastics by plasma for adhesion promotion

Surface activation of plastics by plasma for adhesion promotion Surface activation of plastics by plasma for adhesion promotion Uwe Stöhr, Ph. D. 1 Introduction In many fields a good adhesion between two materials is necessary. The adhesion should exist at the whole

More information