List of Experiment. 8. To study and verify the BCD to Seven Segments DECODER.(IC-7447).

Size: px
Start display at page:

Download "List of Experiment. 8. To study and verify the BCD to Seven Segments DECODER.(IC-7447)."

Transcription

1 G. H. RAISONI COLLEGE OF ENGINEERING, NAGPUR Department of Electronics & Communication Engineering Branch:-4 th Semester[Electronics] Subject: - Digital Circuits List of Experiment Sr. Name Of Experiment No.. To study and verify the Pin configuration of the ICs: 74, 742, 744, 748, 7432, 7486, To study and verify the Truth Table of the Basic Logic Gates. 74, 742, 744, 748, 7432, 7486, NAND and NOR as universal gates. 4. To study and verify the Multiplexer 8:, Multiplexer6: (IC-745). Simulate using micro-cap. 5. To study and verify the De-Multiplexer :6.(IC-7454). Simulate using micro-cap 6. To study and verify the DECODER (IC-7438). Simulate using micro-cap 7. To study and verify the Priority ENCODER (IC-7447). Simulate using micro-cap 8. To study and verify the BCD to Seven Segments DECODER.(IC-7447). Simulate using micro-cap. 9. To study and verify the Decimal to BCD Encoder. (IC-7447). Simulate using micro-cap.. To study and verify the Truth Tables of S-R Flip/Flop-(IC-7474), J-K Flip/Flop IC-7476), T- Flip/Flop, D- Flip/Flop. Simulate using micro-cap.. To study and Simulate The Conversion of the J-K Flip/Flop to S-R Flip/Flop. Simulate using micro-cap. 2. To study the conversion of SR Flip/Flop to D Flip/Flop. Simulate using micro-cap. 3. To study and verify the 4 Bit shift Register.(IC-7495). Simulate using micro-cap. 4. To study and verify the Half Adder And Full Adder.(IC-7483). Simulate using micro-cap. 5. To study and verify the Half Subtractor and Full Subtractor. (IC-7483). Simulate using micro-cap. 6. To study and verify the 4 Bit Adder. (IC-7483). Simulate using micro-cap. 7. To study and verify the 4 Bit comparator. (IC-7485). Simulate using micro-cap. 8. To study and verify the Carry Loop Ahead adder. Simulate using micro-cap.

2 9. To study and verify the Decade Counter.(IC-749). Simulate using micro-cap. 2. To study and verify the Synchronous And Asynchronous Counter.(IC-749). Simulate using micro-cap. 2. To study and verify the UP Down Counter. (IC-749). Simulate using micro-cap.

3 Experiment no: - Aim: - To study and verify the Pin configuration of the ICs: 74,742, 744,748,7432, 7486, Apparatus: - ICs 74, 742, 744, 748, 7432, 7486, 7496, power supply, bread board, Wires etc. Theory: - Digital circuits are different from analog circuits. Almost all digital circuits are designed for two state operations. This means using only two non-adjacent points on the load line, typically saturation and cutoff. As a result the output voltage has only two states, either low or high. Thus, the digital electronics deals with binary numbers, which has only two values &.Logic gates are the digital circuits with one or more voltage but only one output voltage. The most basic gates are called the NOT gate, the OR gate, the AND gate. By connecting these gates in different ways, we can build circuit that performs arithmetic and other function. The symbol and truth table of various gates are given below. ) NOT gate (IC 744) NOT is a one input and one output logic gate. The output is given as Q = A. Truth table is shown in table. IC 744 is an NOT gate IC contains total 6 NOT gates. Input Output A Q = A

4 2) AND gate (IC 748) This gate has two input (A, B) and one output Q. The output is related with input as Q = A.B. Truth table is shown in table. IC 748 is a two input AND gate IC. Input Output A B Q = A B 3) AND gate (IC 74) IC 74 is a 3 input AND gate with three inputs (A, B, C,) and only one output Q. The output is related with 3 input as Q = A.B.C. Truth table is shown in table. This IC contains 3 AND gates. Input Output A B C Q = A.B.C. 4) OR gate (IC 7432) An OR gate have two inputs (A&B) and only one output Q. The output can be related with two inputs as Q = A+B. Truth table is shown in table 4. IC 7432 is a two input OR gate IC. This IC contains total 4 OR gates.

5 Input 5) OR gate: Output A B Q = A+B Table 5 Input Output A B C Q = A+B+C There is no such IC in the logic gate family which is 3 input OR gate. Although it can be constructed using 3 input NOR gate. If a NOT gate is connected to the output of NOR gate then the output are converted into OR gate. A 3 input NOR gate is obtained from IC It contains 3 NOR gates in it. A symbol of 3 input OR gate and it s truth table is shown in fig. 5 and table 5 respectively. 6) NAND gate (IC 74) Input Output A B Q = AB

6 The construction and input and output system is same as IC 744 (given in Sr. No.2) except that output is related to the input by the equation. Q = AB Symbol and the truth table for 2 input NAND gate is shown in fig. 6 and table 6 respectively. 7) NAND gate (IC 74) Table 7 Input Output A B C Q = ABC Theory of IC 74 is same as IC 74 (as given in Sir. No. 3) except that the output is related as follows. Q = ABC. The symbol of 3 input NAND gate is shown in fig. 7 where as the truth table is shown in table 7. 8) NOR gate (IC 742) Input Output A B Q = A+B Table 8 The explanation of this IC is same as IC 7432 (given in Sr. No. 4). The output of two input NOR gate is given as Q = A+B A symbol of two input NOR gate and truth table is given in fig. 8 and table 8.

7 9) NOR gate (IC 7427) Table 9 Input Output A B C Q = A+B+C IC 7427 is a 3 input NOR gate with three input terminals A, B & C and one output terminal Q. The output is related to the three inputs as follows. Q = A+B+C This IC contains only 3 NOR gates. The truth table is shown in table 9.Using above logic gates we can solve different types of Boolean expression. NOT gate is the gate which is used to make reverse of output i.e. if input is one then it will give as output and vice versa. The logical expression for NOT gate is Y= A. ) The Exclusive-OR gate The last six gate types are all fairly direct variations on three basic functions: AND, OR, and NOT. The Exclusive-OR gate, however, is something quite different. Exclusive-OR gates output a "high" () logic level if the inputs are at different logic levels, either and or and. Conversely, they output a "low" () logic level if the inputs are at the same logic levels. The Exclusive-OR (sometimes called XOR) gate has both a symbol and a truth table pattern that is unique:

8 There are equivalent circuits for an Exclusive-OR gate made up of AND, OR, and NOT gates, just as there were for NAND, NOR, and the negative-input gates. A rather direct approach to simulating an Exclusive-OR gate is to start with a regular OR gate, then add additional gates to inhibit the output from going "high" () when both inputs are "high" () In this circuit, the final AND gate acts as a buffer for the output of the OR gate whenever the NAND gate's output is high, which it is for the first three input state combinations (,, and ). However, when both inputs are "high" (), the NAND gate outputs a "low" () logic level, which forces the final AND gate to produce a "low" () output. Another equivalent circuit for the Exclusive-OR gate uses a strategy of two AND gates with inverters, set up to generate "high" () outputs for input conditions and. A final OR gate then allows either of the AND gates'"high" outputs to create a final "high" output: Exclusive-OR gates are very useful for circuits where two or more binary numbers are to be compared bit-for-bit, and also for error detection (parity check) and code conversion (binary to Grey and visa-versa).

9 ) The Exclusive-NOR gate Finally, our last gate for analysis is the Exclusive-NOR gate, otherwise known as the XNOR gate. It is equivalent to an Exclusive-OR gate with an inverted output. The truth table for this gate is exactly opposite as for the Exclusive-OR gate: As indicated by the truth table, the purpose of an Exclusive-NOR gate is to output a "high" () logic level whenever both inputs are at the same logic levels (either or ). Procedure: - ) Study the circuit diagram. 2) Connect the circuit as shown in fig. by using connecting wires. 3) Switch ON the power supply. 4) Apply the corresponding inputs and verify the truth table. Result: - Pin configuration of the ICs: 74,742, 744,748,7432, 7486 & 7496 are studied & verified Viva Questions: -. What is the D Morgan s law? 2. What is the Assertive Law?

10 Experiment no: - 2 Aim: - To study and verify the Truth Table of the Basic Logic Gates 74, 742, 744,748,7432, 7486, Apparatus: - ICs 74, 742, 744,748,7432, 7486, 7496, power supply, breadboard, Wires etc. Circuit Diagram:- Theory:- Digital circuits are different from analog circuits. Almost all digital circuits are designed for two state operations. This means using only two non-adjacent points on the load line, typically saturation and cutoff. As a result the output voltage has only two states, either low or high. Thus, the digital electronics deals with binary numbers, which has only two values &.Logic gates are the digital circuits with one or more voltage but only one output voltage. The most basic gates are called the NOT gate, the OR gate, the AND gate. By connecting these gates in different ways, we can build circuit that performs arithmetic and other function. The symbol and truth table of various gates are given below.

11 ) Not gate (IC 744) Input Output A Q = A NOT is a one input and one output logic gate. The output is given as Q = A. Truth table is shown in table. IC 744 is an NOT gate IC contains total 6 NOT gates. 2) AND gate (IC 748) Input Output A B Q = A B This gate has two input (A, B) and one output Q. The output is related with input as Q = A.B. Truth table is shown in table. IC 748 is a two input AND gate IC. 3) AND gate (IC 74) Input Output A B C Q = A.B.C. IC 74 is a 3 input AND gate with three inputs (A, B, C,) and only one output Q. The output is related with 3 input as Q = A.B.C. Truth table is shown in table. This IC contains 3 AND gates.

12 4) OR gate (IC 7432) Input Output A B Q = A+B An OR gate have two inputs (A&B) and only one output Q. The output can be related with two inputs as Q = A+B. Truth table is shown in table 4. IC 7432 is a two input OR gate IC. This IC contains total 4 OR gates. 5) OR gate: Table 5 Input Output A B C Q = A+B+C There is no such IC in the logic gate family which is 3 input OR gate. Although it can be constructed using 3 input NOR gate. If a NOT gate is connected to the output of NOR gate then the output are converted into OR gate. A 3 input NOR gate is obtained from IC It contains 3 NOR gates in it. A symbol of 3 input OR gate and it s truth table is shown in fig. 5 and table 5 respectively. 6) NAND gate (IC 74) Table 6 Input Output A B Q = AB

13 The construction and input and output system is same as IC 744 (given in Sr. No.2) except that output is related to the input by the equation. Q = AB Symbol and the truth table for 2 input NAND gate is shown in fig. 6 and table 6 respectively. 7) NAND gate (IC 74) Table 7 Input Output A B C Q = ABC Theory of IC 74 is same as IC 74 (as given in Sir. No. 3) except that the output is related as follows. Q = ABC The symbol of 3 input NAND gate is shown in fig. 7 where as the truth table is shown in table 7. 8) NOR gate (IC 742) Input Table 8 Output A B Q = A+B

14 The explanation of this IC is same as IC 7432 (given in Sr. No. 4). The output of two input NOR gate is given as Q = A+B A symbol of two input NOR gate and truth table is given in fig. 8 and table 8. 9) NOR gate (IC 7427) Table 9 Input Output A B C Q = A+B+C IC 7427 is a 3 input NOR gate with three input terminals A, B& C and one output terminal Q. The output is related to the three inputs as follows. Q = A+B+C This IC contains only 3 NOR gates. The truth table is shown in table 9. Using above logic gates we can solve different types of Boolean expression. NOT gate is the gate which is used to make reverse of output i.e. if input is one then it will give as output and vice versa. The logical expression for NOT gate is Y= A Procedure: -. Study the circuit diagram. 2. Connect the circuit as shown in fig. by using connecting wires. 3. Switch ON the power supply. 4. Apply the corresponding inputs and verify the truth table.

15 Simulation: - Result:- Truth Table of all the gates are verified. Viva Questions:-. What is the Logic Gate? 2. What are the different Types of the Logic Gate?

16 Experiment no:- 3 Aim:- To study and verify the NAND And NOR as a Universal Gates (74, 742). Apparatus: - IC 74, 742, power supply, breadboard, wires etc. Circuit Diagram:- Theory: - NAND gate and NOR gate are called as universal gates because every basic gate can be constructed using these gates. NAND gate: - Logic Equation Y = A. This gate gives an output of if all of its inputs are or any one of them is. In fact it a NOT of AND gate. It is constructed by connecting a NOT gate at the output of AND gate. A] NAND as NOT gate: - NOT gate can be constructed using NAND gate by connecting both its inputs together.

17 Y = A.A = A B] NAND as AND gate: - AND gate is constructed by connecting NAND as NOT gate at the output of NAND gate. Y = A.A = A C] NAND as OR gate: - Y = A. B = A+B 2. NOR gate: - Logic Equation Y= A+B This gate gives an output of if all of its inputs are.if any of the input is then o/p will be. A] NOR as NOT gate:-it is constructed by connecting both its input together. Y = A+A = A B] NOR as OR gate: - It is constructed by connecting a NOR as NOT gate at the output of a NOR gate. Y = A+B =A+B C] NOR as AND gate: - Y = A + B = A.B = A.B A) NOT Gate: - A NOT gate is a gate with only one I/p and one o/p. It is also called an Inverter because the o/p is always opposite to the I/p i.e. when the I/p voltage is high (logic ), the o/p is low (logic ). On the other hand when the I/p voltage is low (logic ) the o/p is high (, logic ).The relation between I/p state & o/p state of a NOT gate are shown in following truth table. Fig () shows the symbol of NOT gate. I/P A O/P Y=A B) OR Gate: - (logic ). An OR gate has two or more I/p and only one o/p. It is called an OR gate because the o/p voltage is high (logic ) if any or all of the I/p are high (logic ) and the o/p becomes low (logic ) only when all the I/p are low Fig (2) shows the symbol and truth table for 2 I/p OR gate is given in table (2).

18 TRUTH TABLE I/P O/P A B Y=A+B C) AND Gate: - AND gate has two or more i/p s and only one o/p. The o/p is high (logic ) only when all i/p s are high (logic ) and o/p becomes low (logic ) when booth or any one I/p are low (logic ).Fig (3) shows the symbol and table of two I/p AND gate. TRUTH TABLE I/P O/P A B Y=A.B D) NAND GATE:- TRUTH TABLE I/P O/P A B Y=A+B E) NOR GATE:- TRUTH TABLE I/P O/P A B Y=A+B

19 Simulation:- Result:- The basic logic gates are successfully constructed using NAND and NOR gates this verifies that they are universal gates. Viva Questions:-. What is the Demultiplexer? 2. What is the code converter?

20 Experiment no: - 4 Aim: - To study and verify the multiplexer 8:, Multiplexer6: (IC-745). Simulate using micro-cap. Apparatus: - 6: MUX IC 745, 8: MUX IC 7452, DC Supply Voltage (+5v). Circuit Diagram:- Theory:- A multiplexer is a circuit with many inputs but only one output. i.e. Multiplex means many into one. By applying control signals, we can select any into to the output figure () illustrates the general idea. The circuit has n input signals m control signals & Only One Output signal..a3a2aa =, then complement of data I/P D is transmitted at the output

21 Control signal n input Signal Multiplexer O/P Signal Fig : - Block Diagram of Multiplexer The 6: multiplexer has 6 input bits, 4 control bits and output bit. IC 745 is a 6: TTL multiplexer with the pin diagram shown in fig.2. This is a 24 pin IC. It has 6 Data Input D D5, Four Control (Select) A3 A2, A A I/P signal and one O/P (Y), which is Compliment of Selected Data, with pins Diagram Shown in Figure(2). The pin 9 is active Low Enable/Strobe I/P. A low enable/strobe enables the Multiplexer. But a high strobe disable O/P becomes high If strobe/enable is low, then o/p Y equals the complement of the Data I/P depending upon the control Signal A3A2AA, Data I/P D D5 is Transmitted at the O/P which is compliment of this Data as shown in the Truth Table Y = Dn Where n is the decimal equivalent of A3A2AA Control Signals. A3A2AA =, then complement of data I/P D is transmitted at the output Y = D If D is low, Y will be high If D is high, Y will be low Similarly If A3A2AA = then Y = D5 D5 is high/low then O/P Y becomes low / high respectively.

22 IC 745 D7 24 +V D D8 D D9 D4 4 2 D D3 D : MUX IC D D2 D 7 8 D3 D EN Y A3 GND D4 D5 A A A2 Fig 2: Pin out diagram of IC 745 Procedure: -. Study the circuit diagram. 2. Connect the circuit as shown in fig. by using connecting wires. 3. Switch ON the power supply. 4. Apply the corresponding inputs and verify the truth table.

23 Observation Table:- Truth Table Control Signal Enable/ Strobe I/P A3 A2 A A O/P Y X X X X Simulation:-

24 Result: - The Multiplexer 6: and 8: is studied and truth table is verified. Viva Questions: -. What is the Combinational Logic Design? 2. What is the difference between the Sequential & the combinational Logic circuit?

25 Experiment no:- 5 Aim:- To study and verify the De-Multiplexer :6.(IC-7454). Simulate using micro-cap Apparatus: - IC-7454, breadboard, wires etc. Circuit Diagram:- A A O o D D A2 ENABLE o 74LS38 DEMUX o D2 D3 D4 D5 D6 D7 Theory: - The demultiplexer performs the reverse operation of a multiplexer. It accepts single input and distributes it over several outputs. Fig shows the diagram of demultiplexer. The select input code determines to which output the data input will be transmitted the number of output lines is n and the number of select lines is m where n=2 m. The data bit is transmitted to the data bit of the output lines and this depends on the value of ABCD, the control input. When ABCD=, the upper AND gates is enabled while all other gats are disabled. Therefore, data bit is transmitted only to the Y output, giving Y=. If D is low Y is low. If D is high, Y is high. If the control ABCD is changed to ABCD =, all the gates are disabled except the bottom AND gate. The D is transmitted only to the Y5 output and gives y5=din this way the output depends on the value of D. The demux circuits are used in binary to decimal decoder. The multiplexer with gates are used to realize the Boolean expression in standard SOP form. The IC 74LS38 can be used as DEMUX.

26 Procedure:-. Study the circuit diagram. 2. Connect the circuit as shown in fig. by using connecting wires. 3. Switch ON the power supply. 4. Apply the corresponding inputs and verify the truth table. Observation Table:- Enable A2 A A D D D2 D3 D4 D5 D6 D7

27 Result:- The De-Multiplexer :6.(IC-7454) is studied and simulated using microcap. Viva Questions:-. How the Karnaugh map is importance in the Digital Circuit? 2. How the Sum of the product is implementing in the Karnaugh map?

28 Experiment no: - 6 Aim: - To study and verify the DECODER (IC-7438). Simulate using micro-cap Apparatus:- Bread board, connecting wires, power supply, IC Circuit Diagram:- A A O o D D A2 ENABLE o 74LS38 DECODER o D2 D3 D4 D5 D6 D7

29 Theory:- A decoder is a logic circuit an n-bit binary input code into M output lines such that only one is output is activated at a time for possible combinations of inputs. Consider the decoder on which three inputs and eight outputs are present. For active HIGH circuit AND gate is used. For active low circuit the NAND gate is used. The decoder is called as 3 to 8 line decoder because it has three input lines and eight output lines. Some decoders have one or more ENABLE inputs that are used to control the operation of the decoder. Procedure:-. Study the circuit diagram. 2. Connect the circuit as shown in fig. by using connecting wires. 3. Switch ON the power supply. 4. Apply the corresponding inputs and verify the truth table. Observation Table: - E3 E2 E A2 A A D D D2 D3 D4 D5 D6 D7 Result: - The DECODER (IC-7438). Is studied & verified Viva Questions:-. What is the Different Application of the Combinational Logic circuit? 2. What is the SSI circuit?

30 Experiment no:- 7 Aim :- To study & verify the Priority ENCODER (IC-7447). Simulate using micro-cap Apparatus:- IC 7447, Bread board, connecting wires, power supply etc. Circuit Diagram:- +VCC D Q3 D3 D2 D D9 Q IC D4 D5 D6 D7 D8 Q2 Q GND Theory:- The encoder is a device whose inputs are decimal digits and outputs are the coded representation. The encoder has inputs and 4 out puts corresponding to BCD code. A basic line to 4 line encoder shown above. It performs the operation reverse of the decoder. The encoder has number of input lines and one of which is activated at a given time and produces an N bit out put code depending on which input is activated. In a simple encoder it is assumed that only one input line is equal to at any given time. If a situation arises whether more than one i/p is high then the encoder will not function properly. To tackle such a situation a priority encoder is used. These encoders establish an input priority to insure that only the highest priority input line is Encoded. Thus if a priority is given to an input with a higher subscript number over the one with a lower subscript number. Then if both D2 and D5 at logic simultaneously, the output will be because D5 has higher priority over D2. IC 7447 is a active low IC.Therefore when logic is applied to any input that input will be active and corresponding output is obtained which is compliment of usual output.

31 Procedure:-. Study the circuit diagram. 2. Connect the circuit as shown in fig. by using connecting wires. 3. Switch ON the power supply. 4. Apply the corresponding inputs and verify the truth table. Observation Table:- Dec D D D2 D3 D4 D5 D6 D7 D8 D9 Q3 Q2 Q Q x 2 x x 3 x x x 4 x x x x 5 x x x x x 6 x x x x x x 7 x x x x x x x 8 x x x x x x x x 9 x x x x x x x x x Result:- The Priority ENCODER (IC-7447) is studied and verified Viva Questions:-. What is encoder? 2. Who will set the priority?

32 Experiment no:- 8 Aim:- To study and verify the BCD to Seven Segments DECODER.(IC-7447). Simulate using micro-cap. Apparatus:- IC 7447, segment display, power supply, bread board, IC 7447, wires Circuit Diagram:- Theory:- 7 A OA O 3 B OB o 2 2 C OC o 6 D OD o OE o 9 3 LT o OF o 5 5 o RBI OG o 4 4 o BI/RBO 7447N Seven Segment Display consists of 7 LED s in form of segments that are physically arranged like decimal 8. There is one circular LED connected either in common cathode configuration or in common anode configuration by giving logic / to anode configuration by giving logic / to cathode LED can be made ON/OFF respectively. When 4 bit BCD number is applied to input of decoder, then decoder will be corresponding 7 bit output Ya to Yg. If these 7 bits are applied to 7 LED s of seven

33 segment display and if this seven segment display is connected in common cathode configuration, then to make LED ON/OFF decoder will give / to anode of LED. Procedure:- ) Connect the circuit diagram as per the circuit diagram. 2) Vary the input with 4 switches from to 9 (valid BCD number). 3) The corresponding number of BCD number will be displayed. Observation Table:- Inputs Outputs A B C D a b c d e f g Simulation:-

34 Result:- BCD to Seven Segment Decoder is studied. Viva Questions:-. List the various char. of the Display devices? 2. List the Standard Configuration of the gate as SSI/MSI/VLSI circuit

35 Experiment no:- 9 Aim:- To study and verify the Decimal to BCD Encoder.(IC-7447). Simulate using micro-cap. Apparatus:- Power supply, bread board, IC 7447, wires etc Circuit Diagram:- Theory:- An encoder performs a function which is inverse to the function performed by a decoder. An encoder has 2 n input lines and n output lines. The output lines generate the binary code for the 2 n input variables.in an encoder it is assumed that only one input line can be equal to at any time. Priority Encoder: - In a simple encoder it is assumed that only one input line is equal to at any given time. If a situation arises whether more than one i/p is high then the encoder will not function properly. To tackle such a situation a priority encoder is used. These encoders establish an input priority to insure that only the highest priority input line is encoded. Thus if a priority is given to an input with a higher subscript number over the one with a lower subscript number. Then if both D2 and D5 at logic simultaneously, the output will be because D5 has higher priority over D2. IC 7447 is a active low IC.Therefore when logic is applied to any input that input will be active and corresponding output is obtained which is compliment of usual output.

36 Truth Table :- dec D D D2 D3 D4 D5 D6 D7 D8 D9 Q3 Q2 Q Q x 2 x x 3 x x x 4 x x x x 5 x x x x x 6 x x x x x x 7 x x x x x x x 8 x x x x x x x x 9 x x x x x x x x x +VCC D Q3 D3 D2 D D9 Q IC D4 D5 D6 D7 D8 Q2 Q GND Procedure:- ) Connect the input lines D,D, ,D9 to the power supply ( or ). 2) Now apply logic to all input lines one by one and observe the output Q3 Q2 Q Q. 3) The output is compliment of usual output.

37 Observation Table:- dec D D D2 D3 D4 D5 D6 D7 D8 D9 Q3 Q2 Q Q x 2 x x 3 x x x 4 x x x x 5 x x x x x 6 x x x x x x 7 x x x x x x x 8 x x x x x x x x 9 x x x x x x x x x Simulation:- Result:- The decimal to BCD encoder is studied. Viva Questions:-. Differentiate between decoder and encoder. 2. Give any two application of each.

38 Experiment no: - Aim: - To study and verify the Truth Tables of S-R Flip/Flop (IC-7474), J-K Flip/Flop. IC-7476), T- Flip/Flop, D- Flip/Flop. Simulate using micro-cap. Apparatus:- Digital Multimeter, Patch Chords, IC 74 NAND gate IC, IC 742 NOR gate IC, IC 744 NOT gate IC, LED. Circuit Diagram:-

39 Theory: - A flip-flop is a bistable electronic circuit that has two stable states i.e. its output is either or +5Vdc. The main difference between the analog and digital circuit is that, the digital circuits are designed for two state operations. That means the O/p of the digital circuit has only two states (values), either low or high. In other words the O/p of the digital circuit changes when the I/p changes. However, there are requirements for a digital device or circuit. Whose O/P will remain unchanged, once set, even if there is a change in input. A flip-flop is one such circuit, whose O/p will remain unchanged once set. There are basic three types of Flip-Flops. SR Flip-Flop 2. D Flip-Flop 3. JK Flip-Flop A flip-flop is a bistable electronic circuit that has two stable states i.e. its output is either or +5Vdc. One of the easiest methods to construct a flip-flop is to connect two inverters in series. But basic flip-flop can be improved by replacing two inverters with either NAND or NOR gate. The additional input of these gates provides a conventional means for application of input signals to switch the flip-flop from one stable state to another.

40 Two input NAND gate are connected to from flip-flop circuit. These two inputs are R& S. The flip-flop has two outputs terms as Q and Q. If flip-flop is put into one state it will remain in that state as long as power is applied or until tit is changed. In digital circuit, flip-flops are used in variety of storage, counting, sequencing and timing application.. R- S Flip-Flop:- The R-S flip-flop is the simplest. It has two inputs, S & R input; it will put the latch into one state or the other. When a flip-flop is set by S input, it is said to be storing binary. (O/P = high). When reset by R input, it is said to be storing binary ( O/P = low). An R- S flip-flop constructed by cross- coupling two NAND gates as shown in fig. Fig shows the symbol of the R-S flip-flop. Both Q& Q output goes high, when both R-S inputs are binary. This condition is not allowed in normal use of flip-flop, as the Q represents the complement output of Q. The truth table for RS flip-flop is given in table. Truth Table:- Input Output R S Q Q Not determinant 2. Study D Flip-Flop: - The R S Flip flop has two data inputs R & S. Generation of two signals to drive a flipflop is a disadvantage in much application. Furthermore, the forbidden condition of both R and S high may occur inadvertently. This has lid to the D Flip Flop a circuit that needs only a single data input. Fig shows the simple diagram of D Flip- Flop using NOR Gate. Truth Table:- Input D Output Q In this circuit the D input is just transferred to the output e.g. If D = then output Q is also & If D = output is also, as shown in the truth table.

41 3. Study of T Flip Flop: - The basic digital memory circuit is known as flip flop. It two stable states which are known as the state state. It can be obtained by using NAND or NOR gates. Generally there are two inputs to the flip flops (R, S or J K) and two outputs Q and Q. The outputs Q and Q are always complementary. The circuit has two stable state Q= which is referred to as the state( or set state ) whereas in the other stable state Q= which is referred to as the sate ( or reset state ) If the circuit is in state. It continues to remain in this state and similarly if it is in state, it continues to remain in this state. This property of the circuit is referred to as memory, that is it can store bit of digital information. In a JK flip flop, if J=K the resulting flip flop is referred to as a T Flip Flop, as shown in fig. it has only input, referred to as T input. Its truth table is given in table. If T= it acts as a toggle witch for every clock pulse the output Q changes. Truth Table: - Input Output T Q 4. J-K Flip-flop:- JK Flip-Flop is the most versatile binary strange element. It can perform all the functions of SR and D flip-flop. The uncertainty in the State of SR Flip- Flop when S = R = can be eliminated by using JK Flip-Flop Truth Table Input Output J K Q Qn Qn

42 Procedure: -. Study the circuit diagram. 2. Connect the circuit as shown in fig i.e. JK Flip Flop by using connecting wires. 3. Switch ON the power supply. 4. Apply proper I/P to J & K I/Ps of Flip-Flop from Logic I/P 5. Check the O/P on Logic O/P Section. 6. Change the I/P & Verify the Truth Table. Observation Table: - Input Output S R Q Input D Output Q Input Output J K Q Input Output T Q Result:- Thus R-S Flip-Flop without clock is studied and Truth table is verified. Thus D Flip-Flop without clock is studied and Truth table is verified. Thus Clocked T Flip-Flop is studied and truth table is verified. The JK Flip-Flop using NAND gate is studied and the Truth Table is verified Viva Questions:-. What is the Latch? 2. What is the Race around condition in the Flip Flop?

43 Aim:- Experiment no: - To study and Simulate The Conversion of the S-R Flip/Flop to JK Flip/Flop. Simulate using micro-cap. Apparatus:- Bread board, connecting wires, power supply, IC 74. Circuit Diagram: - Theory: - The R-S flip-flop is the simplest. It has two inputs, S & R input; it will put the latch into one state or the other. When a flip-flop is set by S input, it is said to be storing binary. (O/P = high). When reset by R input, it is said to be storing binary (O/P = low). An R-S flip-flop constructed by cross- coupling two NAND gates as shown in fig. Fig shows the symbol of the R-S flip-flop. Both Q& Q output goes high, when both R-S inputs are binary. This condition is not allowed in normal use of flip-flop, as the Q represents the complement output of Q. The truth table for RS flip-flop is given in table. Design is possible from one flip flop to another flip-flop.. Truth Table: - Input Output R S Q Q Not determinant

44 J-K Flip-flop: - JK Flip-Flop is the most versatile binary strange element. It can perform all the functions of SR and D flip-flop. The uncertainty in the State of SR Flip- Flop when S = R = can be eliminated by using JK Flip-Flop Truth Table Input Output J K Q Qn Qn Procedure: -. Study the circuit diagram. 2. Connect the circuit as shown in fig. by using connecting wires. 3. Switch ON the power supply. 4. Apply the corresponding inputs and verify the truth table. Observation Table:- Table for JK using SR Input Output J K Q Result:- Conversion of SR flip into JK flip is studied. Viva Questions:-. What is the difference between the Delay &Toggle Flip flop? 2. Explain the concept of the clock in the Digital circuit?

45 Experiment no: - 2 Aim: - To study conversion of SR Flip/Flop to D Flip/Flop. Simulate using micro-cap. Apparatus:- SR flip flop, power supply, patch cords, IC 74. Circuit Diagram:- Theory: - There are basic three types of Flip-Flops. SR Flip-Flop 2. D Flip-Flop 3. JK Flip-Flop A flip-flop is a bistable electronic circuit that has two stable states i.e. its output is either or +5Vdc. One of the easiest methods to construct a flip-flop is to connect two inverters in series. But basic flip-flop can be improved by replacing two inverters with either NAND or NOR gate. The additional input of these gates provides a conventional means for application of input signals to switch the flip-flop from one stable state to another. Two input NAND gate are connected to from flip-flop circuit. These two inputs are R& S. The flip-flop has two outputs terms as Q and Q. If flip-flop is put into one state it will remain in that state as long as power is applied or until tit is changed. In digital circuit, flip-flops are used in variety of storage, counting, sequencing and timing application.. R- S Flip-Flop:- The R-S flip-flop is the simplest. It has two inputs, S & R input; it will put the latch into one state or the other. When a flip-flop is set by S input, it is said to be storing binary. (O/P = high). When reset by R input, it is said to be storing binary ( O/P = low). An R- S flip-flop constructed by cross- coupling two NAND gates as shown in fig.

46 Fig shows the symbol of the R-S flip-flop. Both Q& Q output goes high, when both R-S inputs are binary. This condition is not allowed in normal use of flip-flop, as the Q represents the complement output of Q. The truth table for RS flip-flop is given in table. Truth Table: - Input Output R S Q Q Not determinant 2. Study D Flip-Flop:- The R S Flip Flop has two data inputs R & S. Generation of two signals to drive a flipflop is a disadvantage in many application. Furthermore, the forbidden condition of both R and S high may occur inadvertently. This has lid to the D Flip Flop a circuit that needs only a single data input. Fig shows the simple diagram of D Flip- Flop using NOR Gate. Truth Table: - Input Output D Q In this circuit the D input is just transferred to the output e.g. If D = then output Q is also & If D = output is also, as shown in the truth table. Procedure: -. Study the circuit diagram. 2. Connect the circuit as shown in fig. by using connecting wires. 3. Switch ON the power supply. 4. Apply the corresponding inputs and verify the truth table.

47 Observation Table:- Input S=R= D Output Q Result: - The conversion of SR to D flip flop is studied. Viva Questions: -. Explain the Memories organization in the Digital circuit? 2. What is the Memory?

48 Experiment no:- 3 Aim:- To study and verify the 4 Bit shift Register,IC-7495) Simulate using micro-cap. Apparatus: - Bread board, connecting wires, power supply, IC 7495, LED s, resistor. Circuit Diagram: - Theory: - A register is a device, which is used to store information in the form of binary bits. A register in which stored data can be shifted from one flip flop to another is called as shift register.a register in which data is shifted left is known as left shift register and vice versa for the shift right register. The one I which data is shifted in both directions is known as bi-directional shift register. If the data is stored into the flip flop bit by bit then it is called as serial in & if all the input bits are applied t the same time then it is called as parallel in If data is required bit by bit then it is called as serial out & if all the bits are used together then it is called as parallel out. The applications of shift registers are: - ) To store the data 2) Shifting the data 3) Transmitting from one line to parallel line & vice versa.

49 There are basically 4 shift registers: Serial in serial out Serial in parallel out Parallel in parallel out Parallel in serial out Procedure: -. Study the circuit diagram. 2. Connect the circuit as shown in fig. by using connecting wires. 3. Switch ON the power supply. 4. Apply the corresponding inputs and verify the truth table. 5. When switches are adjusted at high or low the LED glows towards right or towards left. 6. Direction of glowing of LED s shows the right shifting operation. Result: - The operation of Shift register is studied. Viva Questions: -.What is the EPROM memory? 2.What is the EEPROM memory?

50 Experiment no:- 4 Aim: - To study and verify the Half Adder & Full Adder. Simulate using micro-cap. Apparatus: - Power supply, bread board, IC 744, IC 748, IC 7486, IC 7432, wires Circuit Diagram: - Theory: - Half & Full Adder By combining logic gate in the right way we can built circuits that can add and subtract binary bits. In binary system, any number can be represented with the combination of any digits and as own up binary addition table. += += += +=, carry= Half Adder: - Inputs Fig shows, a block symbol of half adder. Outputs A Half Σ(sum) B Adder Co (carry out) Fig () Block symbol of half adder

51 Truth Table:- Inputs Output A B Σ Sum Co The output of the X-Or gate is called the (Σ) sum O/P while the O/P of the AND gate is the Carry (Co) The AND gate produce a high O/P only when both I/P s are high (logic ). The X-OR gate produces a high O/P if, either of I/P is high. A & B=. Output sum = A.B + A.B =. +. =. +. = + Sum = Output carry = A.B Co =. Co = Hence For A =, B=, Σsum= & Co =. If A=, B= Output carry = A.B =. = Hence If A = & B=, l then sum = & carry = Similarly if A =, B = then Output sum = Output carry = If A & B = then. Output sum = & Output carry = (to next MSB)

52 For Full Adder:- Half adder circuit is used to add two bits at a time. A full adder circuit is used for addition of three at a time giving sum and carry out. Following fig. & 2 shows the Block schematic and logical diagram of Full Adder. C in Full Σ Sum = A B Cin I/Ps A Adder Cin + A+ B O/Ps B Co = carry = A.B + Cin.(A B) Truth Table:- Inputs Output Cin A B Co Consider any case of input combination. e.g. Cin =, B =, A = Now refer fig (2). Output of P gate, Y = A.B + A.B. =. +. = Q gate, Y2 = A.B =. = F S gate, Y3 = Cin. Y =. = Sum =Cin. Y = Y.Cin =. =. = + = Carry out Co. = Y3 +Y2 = + = For cin =,B = & A =, then Sum O/P = and carry O/P=.

53 Procedure: - ) Study the circuit diagram. 2) Connect the circuit as shown in fig. by using connecting wires. 3) Switch ON the power supply. 4) Apply the corresponding inputs and verify the truth table. Observation Table: - For Half Adder: - Inputs Output A B ΣSum Co For Full Adder: - Inputs Output Cin A B Sum Co

54 Simulation: - Result:- The circuit for half adder and full adder is studied and the truth table is verified. Viva Questions: - ) How the multiplexer is used as the Function Generator? 2) What is Flip Flop?

55 Experiment no: - 5 Aim: - To study and verify the Half Subtractor and Full Subtractor. Simulate using micro-cap. Apparatus: -Bread board, connecting wires, power supply, ICS 74,742,744,748, Circuit Diagram: - Theory: - By combining logic gate in the right way we can built circuits that can add and subtract binary bits. Binary systems there are only are only two numbers, &. There are four basic cases of binary Subtraction. Half Substractor Half subtract or is a logic circuit that performs the subtraction of one Binary bit only. It Subtracts B (Subtracted) from A (minuend) and generates the difference (D) and borrow (Bo). Following figure shows the block systematic of half substractor Input A B Half Subtractor D (Difference) B (Borrow) Fig :- Symbols for Half Substractor

56 Difference (D) = AB + AB Barrow (Bo) = AB Input Output A B D Bo The output of the Ex-OR gate called differences while the o/p of AND gate is the barrow. Consider st Condition O/P Difference =AB + AB =. +. = O/P of Borrow = A.B. =. = = A=, B= I/P Differences = A.B + A.B =. +. =. +. = _ O/P of Borrow = A.B. =. = A=, B= O/P difference = A.B +A.B =. +. =. +. = Difference = O/P of Barrow = A.B. =. = Bo = A=, B= O/P difference = A.B + A.B =. +.

57 = D= _ O/P of Borrow = A.B. =. = So, Bo is FULL SUBTRACTOR Substractor is a Logic Circuit that performs the Subtraction of 3 bits, where A (minuend), B and Cn- (borrow from previous Stage) are the I/P s and Difference (D) and Borrow (Bo) are Following Fig shows the block schematic of Full Substractor. A Full B Substractor (A-B-Cn-) Cn- (Borrow form Previous Stage) D Bo (Borrow) Procedure: - Basic symbol of full subtractor Inputs Output Cn- B A D Bo ) Study the circuit diagram. 2) Connect the circuit as shown in fig. by using connecting wires. 3) Switch ON the power supply. 4) Apply the corresponding inputs and verify the truth table.

58 Observation Table: - Half subtractor: - Input Output A B D Bo Full Subtractor: - Inputs Output Cn- B A D Bo Simulation: -

59 Result: - The circuit for half adder and full adder is studied and the truth table is verified Viva Questions: - ) List the Standard Configuration of the gate as SSI/MSI/VLSI circuit? 2) What is the Karnaugh map?

60 Experiment no: - 6 Aim: - To study and verify the 4 Bit Adder. (IC-7483). Simulate using micro-cap. Apparatus: - Breadboard, connecting wires, power supply, IC Circuit Diagram:- Theory:- A full adder capable of adding two bit binary nos. and carry in. When two n-bit binary numbers are to be added., the number of full adder required will be equal to the number of bits n in each number. The addition of LSB can be done by half adder or full adder (with making carry ground). A parallel adder is used to add to two numbers in parallel form and to produce the sum of bits as parallel output. A block diagram of 4 bit is shown above it is capable of adding of two 4 bit numbers designated as A3 A2AA and B3B2BB. The resulting output sum bits are S3S2SS. A3 B3 A2 B2 A B A B Cin Cin Cin Cin FA3 FA2 FA FA Cout S3 Cout Cout Cout S2 S S

61 Procedure:- ) Study the circuit diagram. 2) Connect the circuit as shown in fig. by using connecting wires. 3) Switch ON the power supply. 4) Apply the corresponding inputs and verify the truth table. Observation Table:- Input Input Output A3A2AA B3B2BB S3S2SS Result: - Two 4 Bit numbers are added using 4 bit Adder and result is verified. Viva Questions:-. What is Lock Free state in counter? 2. Explain BCD adder.

62 Experiment no: - 7 Aim: - To study and verify the 4 Bit comparator (IC-7485). Simulate using micro-cap. Apparatus: - Breadboard, connecting wires, power supply, IC 7485 Circuit Diagram:- B2 A2 (A=B)OUT (A>B)IN (A<B)IN (A=B)IN A GND VCC A3 B3 (A>B)OUT (A<B)OUT B A B 7485 Theory: - Comparator is a logic circuit, used to compare the magnitudes of two binary numbers, depending on the design, it may either simply provide an output that is active when the two numbers are equal, or additionally provide outputs that signify which of the numbers is greater when equality does not hold X-NOR gate is a basic comparator, because its output is only if its two input bits are equal, i.e. the output is a if and only if the input bit coincides. Fig shows the operation of an X-NOR gate is a comparator. Two binary numbers are equal, if and only if all their corresponding bits coincide. For example, two 4-bit binary numbers A3A2AAo and B3B2BBo are equal, if and only if A3=B3, A2=B2, A=B and A=B.Thus, equality holds when A3 coincides with B3, A2 coincides with B2, A coincides with B, and Ao coincides with Bo. The implementation of this logic. Procedure: - ) Study the circuit diagram. 2) Connect the circuit as shown in fig. by using connecting wires. 3) Switch ON the power supply. 4) Apply the corresponding inputs and verify the truth table.

63 Observation Table: - SR.NO. A3A2AA B3B2BB A<B A>B A=B Result: - The 4 Bit comparator. (IC-7485).is studied & verified Viva Questions: -.What is the Combinational Logic Design? 2.What is the difference between the Sequential & the combinational Logic circuit?

64 Experiment no: - 8 Aim: - To study and verify the Carry Loop Ahead adder. Simulate using micro-cap. Apparatus: - Bread board, connecting wires, power supply, IC 7486,7432,748. Circuit Diagram: - Theory: - The Look Ahead Carry Adder: - In case of the parallel adder, the speed with which an addition can be performed is governed by the time required for the carriers to propagate or ripple through all of the stages of the adder. The look-ahead carry adder speeds up the process by eliminating this ripple carry delay. It examines all the input bits simultaneously and also generates the carry-in bits for all the stages simultaneously. The method of speeding up the addition process is based on the two additional functions of the full-adder, called the carry generate and carry propagate functions. The carry generate (CG) functions indicates as to when a carryout would be generated by the full adder. A carry-out is generated only when both the input bits are one. This condition is expressed as the AND functions of the two input bits A and B. Thus, CG=A.B. A carry-in may be propagated by the full adder when either or both of the input bits are.this condition is expressed as the AND functions of the two inputs A and B. Thus CP=A+B Procedure: - ) Study the circuit diagram. 2) Connect the circuit as shown in fig. by using connecting wires. 3) Switch ON the power supply. 4) Apply the corresponding inputs and verify the truth table.

65 Observation Table: - A B Cin C CG=A.B CP=A+B Result: - Carry lookahead adder is studied and verified Viva Questions: - ) What is the UP counter? 2) What is the Down counter?

66 Experiment no: - 9 Aim: - To study and verify the Decade Counter (IC-749). Simulate using micro-cap. Apparatus: - IC-749, Digital Multimeter, Patch Chords Circuit Diagram:- Theory: - Sequential logic circuits are used for a variety of things, sequencing and storage functions. The O/P of sequential logic circuits is combined function of the various I/p states and the result of previous operation, which are stored in the circuit it self. The sequential operations are generally sequenced by a clock signal. A counter driven by clock can be used to count the number of clock cycles. A decade counter is sequential circuit that counts by tens. It has ten discrete states which represent decimal numbers from to 9. The integrated circuit 749 a decade counters using the standard 842 binary code. But reset to on the tenth count. Following fig shows the pin configuration of IC 749. IC 749 internally consists of Mod-2 & Mod-5 Converters. It has two reset pins i.e.r & R 2 pin no. 2 & 3 respectively. Both the pins to be connected to logic for clearing o/p. The IC can be reset to by giving appropriate (high & low) input which are shown in truth table. For counting both inputs either R and S OR R 2 and S 2 must be low.

67 INPUT OUTPUT R R 2 S S 2 Q 3 Q 2 Q Q H H L X L L L L X L X L COUNT L X L X COUNT Procedure: - ) Study the pin configuration of IC ) Connect pin no to pin no 2 using connecting wire and apply logic I/P s to pin no. 2,3,6,7 using connecting wires. 3) Switch ON the power supply. 4) Reset the o/p to by applying logic to R and R 2 & logic to S and S 2. 5) Give logic to R 2 & S 2 and now apply the clock pulse to the pin no 4 and observe the o/p after each clock pulse. Observation Table: - I/P No. of clock pulses O/P Q3 Q2 Q Q

68 Simulation: - Result: - It is observed that the Decade counter counts from to and after, it reset to. Hence counts decade (i.e.) clock pulses. Viva Questions:-. What are the Different Types of the counter? 2. What is the Synchronous Counter?

69 Experiment no:- 2 Aim:- To study and verify the Synchronous And Asynchronous Counter (7463) Simulate using micro-cap. Apparatus: - Breadboard, connecting wires, power supply, IC Circuit Diagram:- SYNCHRONOUS COUNTER D C B A CLR LOAD ENP ENT CLK IC 74LS63A Qa Qb Qc Qd Rco

70 Theory:- Asynchronous counter are serial counter. They are slow because each FF can change state only if all the preceding FFs have changed their state. The propagation delay thus gets accumulated, and so causes problems. If the clock frequency is very high, the asynchronous counter may skip some the states and, therefore, malfunction. This problem is overcome in synchronous or parallel counters. Synchronous counters are counter are counters in which all the FFs are triggered simultaneously in synchronization with the clock pulses, the propagation delays of FFs do not add together (as in ripple counters) to produce the overall delay. In fact, the propagation delay of the gates involved. So, the synchronous counters can operate at much higher frequencies than those that can be used in asynchronous counters. To increase in counter speed can be achieved by synchronous counter. In this type of counter each flip flop is triggered by the clock. The clock is applied directly to flip flop. A since the J-K flip flop are used they responds to the negative going edge of clock pulse and toggles when both the J & K inputs are high. Flip flop A will change state with each negative clock transmission. Whenever A is high AND gate X is enable and a clock pulse is passed though the gate to the clock input of flip flop. Thus B changes states with every other ve clock transition. Since AND gate Y is enabled and with transmit the clock of flip flop C only when both A and B are high. Flip flop C changes state with every fourth negative clock transition. Similarly counting goes on. Advantages: - Synchronous counter have the advantage of high speed and less severe decoding problems. Disadvantages: - Synchronous counter having more circuitry than that of asynchronous counters. Procedure:- Observation Table:- ) Study the circuit diagram. 2) Connect the circuit as shown in fig. by using connecting wires. 3) Switch ON the power supply. 4) Apply the corresponding inputs and verify the truth table. Clock QA QB QC QD

71 Result:- Thus Synchronous & Asynchronous Counter are studied and verified. Viva Questions: -. What is synchronous counter? 2. What is asynchronous counter?

DEPARTMENT OF INFORMATION TECHNLOGY

DEPARTMENT OF INFORMATION TECHNLOGY DRONACHARYA GROUP OF INSTITUTIONS, GREATER NOIDA Affiliated to Mahamaya Technical University, Noida Approved by AICTE DEPARTMENT OF INFORMATION TECHNLOGY Lab Manual for Computer Organization Lab ECS-453

More information

Module 3: Floyd, Digital Fundamental

Module 3: Floyd, Digital Fundamental Module 3: Lecturer : Yongsheng Gao Room : Tech - 3.25 Email : yongsheng.gao@griffith.edu.au Structure : 6 lectures 1 Tutorial Assessment: 1 Laboratory (5%) 1 Test (20%) Textbook : Floyd, Digital Fundamental

More information

COMBINATIONAL CIRCUITS

COMBINATIONAL CIRCUITS COMBINATIONAL CIRCUITS http://www.tutorialspoint.com/computer_logical_organization/combinational_circuits.htm Copyright tutorialspoint.com Combinational circuit is a circuit in which we combine the different

More information

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng Digital Logic Design Basics Combinational Circuits Sequential Circuits Pu-Jen Cheng Adapted from the slides prepared by S. Dandamudi for the book, Fundamentals of Computer Organization and Design. Introduction

More information

BINARY CODED DECIMAL: B.C.D.

BINARY CODED DECIMAL: B.C.D. BINARY CODED DECIMAL: B.C.D. ANOTHER METHOD TO REPRESENT DECIMAL NUMBERS USEFUL BECAUSE MANY DIGITAL DEVICES PROCESS + DISPLAY NUMBERS IN TENS IN BCD EACH NUMBER IS DEFINED BY A BINARY CODE OF 4 BITS.

More information

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa Experiment # 9 Clock generator circuits & Counters Eng. Waleed Y. Mousa 1. Objectives: 1. Understanding the principles and construction of Clock generator. 2. To be familiar with clock pulse generation

More information

Counters and Decoders

Counters and Decoders Physics 3330 Experiment #10 Fall 1999 Purpose Counters and Decoders In this experiment, you will design and construct a 4-bit ripple-through decade counter with a decimal read-out display. Such a counter

More information

Having read this workbook you should be able to: recognise the arrangement of NAND gates used to form an S-R flip-flop.

Having read this workbook you should be able to: recognise the arrangement of NAND gates used to form an S-R flip-flop. Objectives Having read this workbook you should be able to: recognise the arrangement of NAND gates used to form an S-R flip-flop. describe how such a flip-flop can be SET and RESET. describe the disadvantage

More information

Digital Electronics Detailed Outline

Digital Electronics Detailed Outline Digital Electronics Detailed Outline Unit 1: Fundamentals of Analog and Digital Electronics (32 Total Days) Lesson 1.1: Foundations and the Board Game Counter (9 days) 1. Safety is an important concept

More information

Asynchronous Counters. Asynchronous Counters

Asynchronous Counters. Asynchronous Counters Counters and State Machine Design November 25 Asynchronous Counters ENGI 25 ELEC 24 Asynchronous Counters The term Asynchronous refers to events that do not occur at the same time With respect to counter

More information

Lab 1: Study of Gates & Flip-flops

Lab 1: Study of Gates & Flip-flops 1.1 Aim Lab 1: Study of Gates & Flip-flops To familiarize with circuit implementations using ICs and test the behavior of different logic gates and Flip-flops. 1.2 Hardware Requirement a. Equipments -

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

Sistemas Digitais I LESI - 2º ano

Sistemas Digitais I LESI - 2º ano Sistemas Digitais I LESI - 2º ano Lesson 6 - Combinational Design Practices Prof. João Miguel Fernandes (miguel@di.uminho.pt) Dept. Informática UNIVERSIDADE DO MINHO ESCOLA DE ENGENHARIA - PLDs (1) - The

More information

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies ETEC 2301 Programmable Logic Devices Chapter 10 Counters Shawnee State University Department of Industrial and Engineering Technologies Copyright 2007 by Janna B. Gallaher Asynchronous Counter Operation

More information

DIGITAL ELECTRONICS. Counters. By: Electrical Engineering Department

DIGITAL ELECTRONICS. Counters. By: Electrical Engineering Department Counters By: Electrical Engineering Department 1 Counters Upon completion of the chapter, students should be able to:.1 Understand the basic concepts of asynchronous counter and synchronous counters, and

More information

Contents COUNTER. Unit III- Counters

Contents COUNTER. Unit III- Counters COUNTER Contents COUNTER...1 Frequency Division...2 Divide-by-2 Counter... 3 Toggle Flip-Flop...3 Frequency Division using Toggle Flip-flops...5 Truth Table for a 3-bit Asynchronous Up Counter...6 Modulo

More information

The components. E3: Digital electronics. Goals:

The components. E3: Digital electronics. Goals: E3: Digital electronics Goals: Basic understanding of logic circuits. Become familiar with the most common digital components and their use. Equipment: 1 st. LED bridge 1 st. 7-segment display. 2 st. IC

More information

Lecture 8: Synchronous Digital Systems

Lecture 8: Synchronous Digital Systems Lecture 8: Synchronous Digital Systems The distinguishing feature of a synchronous digital system is that the circuit only changes in response to a system clock. For example, consider the edge triggered

More information

Operating Manual Ver.1.1

Operating Manual Ver.1.1 4 Bit Binary Ripple Counter (Up-Down Counter) Operating Manual Ver.1.1 An ISO 9001 : 2000 company 94-101, Electronic Complex Pardesipura, Indore- 452010, India Tel : 91-731- 2570301/02, 4211100 Fax: 91-731-

More information

DIGITAL COUNTERS. Q B Q A = 00 initially. Q B Q A = 01 after the first clock pulse.

DIGITAL COUNTERS. Q B Q A = 00 initially. Q B Q A = 01 after the first clock pulse. DIGITAL COUNTERS http://www.tutorialspoint.com/computer_logical_organization/digital_counters.htm Copyright tutorialspoint.com Counter is a sequential circuit. A digital circuit which is used for a counting

More information

SEQUENTIAL CIRCUITS. Block diagram. Flip Flop. S-R Flip Flop. Block Diagram. Circuit Diagram

SEQUENTIAL CIRCUITS. Block diagram. Flip Flop. S-R Flip Flop. Block Diagram. Circuit Diagram SEQUENTIAL CIRCUITS http://www.tutorialspoint.com/computer_logical_organization/sequential_circuits.htm Copyright tutorialspoint.com The combinational circuit does not use any memory. Hence the previous

More information

Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill

Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill Objectives: Analyze the operation of sequential logic circuits. Understand the operation of digital counters.

More information

DIGITAL TECHNICS II. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute. 2nd (Spring) term 2012/2013

DIGITAL TECHNICS II. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute. 2nd (Spring) term 2012/2013 DIGITAL TECHNICS II Dr. Bálint Pődör Óbuda University, Microelectronics and Technology Institute 4. LECTURE: COUNTERS AND RELATED 2nd (Spring) term 2012/2013 1 4. LECTURE: COUNTERS AND RELATED 1. Counters,

More information

Upon completion of unit 1.1, students will be able to

Upon completion of unit 1.1, students will be able to Upon completion of unit 1.1, students will be able to 1. Demonstrate safety of the individual, class, and overall environment of the classroom/laboratory, and understand that electricity, even at the nominal

More information

So far we have investigated combinational logic for which the output of the logic devices/circuits depends only on the present state of the inputs.

So far we have investigated combinational logic for which the output of the logic devices/circuits depends only on the present state of the inputs. equential Logic o far we have investigated combinational logic for which the output of the logic devices/circuits depends only on the present state of the inputs. In sequential logic the output of the

More information

Digital Logic Design Sequential circuits

Digital Logic Design Sequential circuits Digital Logic Design Sequential circuits Dr. Eng. Ahmed H. Madian E-mail: ahmed.madian@guc.edu.eg Dr. Eng. Rania.Swief E-mail: rania.swief@guc.edu.eg Dr. Eng. Ahmed H. Madian Registers An n-bit register

More information

WEEK 8.1 Registers and Counters. ECE124 Digital Circuits and Systems Page 1

WEEK 8.1 Registers and Counters. ECE124 Digital Circuits and Systems Page 1 WEEK 8.1 egisters and Counters ECE124 igital Circuits and Systems Page 1 Additional schematic FF symbols Active low set and reset signals. S Active high set and reset signals. S ECE124 igital Circuits

More information

Lecture-3 MEMORY: Development of Memory:

Lecture-3 MEMORY: Development of Memory: Lecture-3 MEMORY: It is a storage device. It stores program data and the results. There are two kind of memories; semiconductor memories & magnetic memories. Semiconductor memories are faster, smaller,

More information

Memory Elements. Combinational logic cannot remember

Memory Elements. Combinational logic cannot remember Memory Elements Combinational logic cannot remember Output logic values are function of inputs only Feedback is needed to be able to remember a logic value Memory elements are needed in most digital logic

More information

Counters & Shift Registers Chapter 8 of R.P Jain

Counters & Shift Registers Chapter 8 of R.P Jain Chapter 3 Counters & Shift Registers Chapter 8 of R.P Jain Counters & Shift Registers Counters, Syllabus Design of Modulo-N ripple counter, Up-Down counter, design of synchronous counters with and without

More information

Digital Fundamentals. Lab 8 Asynchronous Counter Applications

Digital Fundamentals. Lab 8 Asynchronous Counter Applications Richland College Engineering Technology Rev. 0 B. Donham Rev. 1 (7/2003). Horne Rev. 2 (1/2008). Bradbury Digital Fundamentals CETT 1425 Lab 8 Asynchronous Counter Applications Name: Date: Objectives:

More information

Asynchronous counters, except for the first block, work independently from a system clock.

Asynchronous counters, except for the first block, work independently from a system clock. Counters Some digital circuits are designed for the purpose of counting and this is when counters become useful. Counters are made with flip-flops, they can be asynchronous or synchronous and they can

More information

To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC.

To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC. 8.1 Objectives To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC. 8.2 Introduction Circuits for counting events are frequently used in computers and other digital

More information

Lesson 12 Sequential Circuits: Flip-Flops

Lesson 12 Sequential Circuits: Flip-Flops Lesson 12 Sequential Circuits: Flip-Flops 1. Overview of a Synchronous Sequential Circuit We saw from last lesson that the level sensitive latches could cause instability in a sequential system. This instability

More information

Systems I: Computer Organization and Architecture

Systems I: Computer Organization and Architecture Systems I: omputer Organization and Architecture Lecture 8: Registers and ounters Registers A register is a group of flip-flops. Each flip-flop stores one bit of data; n flip-flops are required to store

More information

CHAPTER 3 Boolean Algebra and Digital Logic

CHAPTER 3 Boolean Algebra and Digital Logic CHAPTER 3 Boolean Algebra and Digital Logic 3.1 Introduction 121 3.2 Boolean Algebra 122 3.2.1 Boolean Expressions 123 3.2.2 Boolean Identities 124 3.2.3 Simplification of Boolean Expressions 126 3.2.4

More information

Sequential Logic Design Principles.Latches and Flip-Flops

Sequential Logic Design Principles.Latches and Flip-Flops Sequential Logic Design Principles.Latches and Flip-Flops Doru Todinca Department of Computers Politehnica University of Timisoara Outline Introduction Bistable Elements Latches and Flip-Flops S-R Latch

More information

Chapter 8. Sequential Circuits for Registers and Counters

Chapter 8. Sequential Circuits for Registers and Counters Chapter 8 Sequential Circuits for Registers and Counters Lesson 3 COUNTERS Ch16L3- "Digital Principles and Design", Raj Kamal, Pearson Education, 2006 2 Outline Counters T-FF Basic Counting element State

More information

Gates, Circuits, and Boolean Algebra

Gates, Circuits, and Boolean Algebra Gates, Circuits, and Boolean Algebra Computers and Electricity A gate is a device that performs a basic operation on electrical signals Gates are combined into circuits to perform more complicated tasks

More information

CHAPTER 11: Flip Flops

CHAPTER 11: Flip Flops CHAPTER 11: Flip Flops In this chapter, you will be building the part of the circuit that controls the command sequencing. The required circuit must operate the counter and the memory chip. When the teach

More information

Chapter 7. Registers & Register Transfers. J.J. Shann. J. J. Shann

Chapter 7. Registers & Register Transfers. J.J. Shann. J. J. Shann Chapter 7 Registers & Register Transfers J. J. Shann J.J. Shann Chapter Overview 7- Registers and Load Enable 7-2 Register Transfers 7-3 Register Transfer Operations 7-4 A Note for VHDL and Verilog Users

More information

Chapter 9 Latches, Flip-Flops, and Timers

Chapter 9 Latches, Flip-Flops, and Timers ETEC 23 Programmable Logic Devices Chapter 9 Latches, Flip-Flops, and Timers Shawnee State University Department of Industrial and Engineering Technologies Copyright 27 by Janna B. Gallaher Latches A temporary

More information

Flip-Flops, Registers, Counters, and a Simple Processor

Flip-Flops, Registers, Counters, and a Simple Processor June 8, 22 5:56 vra235_ch7 Sheet number Page number 349 black chapter 7 Flip-Flops, Registers, Counters, and a Simple Processor 7. Ng f3, h7 h6 349 June 8, 22 5:56 vra235_ch7 Sheet number 2 Page number

More information

Counters. Present State Next State A B A B 0 0 0 1 0 1 1 0 1 0 1 1 1 1 0 0

Counters. Present State Next State A B A B 0 0 0 1 0 1 1 0 1 0 1 1 1 1 0 0 ounter ounters ounters are a specific type of sequential circuit. Like registers, the state, or the flip-flop values themselves, serves as the output. The output value increases by one on each clock cycle.

More information

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1.

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. File: chap04, Chapter 04 1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. 2. True or False? A gate is a device that accepts a single input signal and produces one

More information

RUTGERS UNIVERSITY Department of Electrical and Computer Engineering 14:332:233 DIGITAL LOGIC DESIGN LABORATORY

RUTGERS UNIVERSITY Department of Electrical and Computer Engineering 14:332:233 DIGITAL LOGIC DESIGN LABORATORY RUTGERS UNIVERSITY Department of Electrical and Computer Engineering 14:332:233 DIGITAL LOGIC DESIGN LABORATORY Fall 2012 Contents 1 LABORATORY No 1 3 11 Equipment 3 12 Protoboard 4 13 The Input-Control/Output-Display

More information

Design Example: Counters. Design Example: Counters. 3-Bit Binary Counter. 3-Bit Binary Counter. Other useful counters:

Design Example: Counters. Design Example: Counters. 3-Bit Binary Counter. 3-Bit Binary Counter. Other useful counters: Design Eample: ers er: a sequential circuit that repeats a specified sequence of output upon clock pulses. A,B,C,, Z. G, O, T, E, R, P, S,!.,,,,,,,7. 7,,,,,,,.,,,,,,,,,,,. Binary counter: follows the binary

More information

Fig1-1 2-bit asynchronous counter

Fig1-1 2-bit asynchronous counter Digital electronics 1-Sequential circuit counters Such a group of flip- flops is a counter. The number of flip-flops used and the way in which they are connected determine the number of states and also

More information

ASYNCHRONOUS COUNTERS

ASYNCHRONOUS COUNTERS LB no.. SYNCHONOUS COUNTES. Introduction Counters are sequential logic circuits that counts the pulses applied at their clock input. They usually have 4 bits, delivering at the outputs the corresponding

More information

Chapter 4 Register Transfer and Microoperations. Section 4.1 Register Transfer Language

Chapter 4 Register Transfer and Microoperations. Section 4.1 Register Transfer Language Chapter 4 Register Transfer and Microoperations Section 4.1 Register Transfer Language Digital systems are composed of modules that are constructed from digital components, such as registers, decoders,

More information

Flip-Flops and Sequential Circuit Design. ECE 152A Winter 2012

Flip-Flops and Sequential Circuit Design. ECE 152A Winter 2012 Flip-Flops and Sequential Circuit Design ECE 52 Winter 22 Reading ssignment Brown and Vranesic 7 Flip-Flops, Registers, Counters and a Simple Processor 7.5 T Flip-Flop 7.5. Configurable Flip-Flops 7.6

More information

Flip-Flops and Sequential Circuit Design

Flip-Flops and Sequential Circuit Design Flip-Flops and Sequential Circuit Design ECE 52 Winter 22 Reading ssignment Brown and Vranesic 7 Flip-Flops, Registers, Counters and a Simple Processor 7.5 T Flip-Flop 7.5. Configurable Flip-Flops 7.6

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

Take-Home Exercise. z y x. Erik Jonsson School of Engineering and Computer Science. The University of Texas at Dallas

Take-Home Exercise. z y x. Erik Jonsson School of Engineering and Computer Science. The University of Texas at Dallas Take-Home Exercise Assume you want the counter below to count mod-6 backward. That is, it would count 0-5-4-3-2-1-0, etc. Assume it is reset on startup, and design the wiring to make the counter count

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/20 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad University of California,

More information

Theory of Logic Circuits. Laboratory manual. Exercise 3

Theory of Logic Circuits. Laboratory manual. Exercise 3 Zakład Mikroinformatyki i Teorii Automatów yfrowych Theory of Logic ircuits Laboratory manual Exercise 3 Bistable devices 2008 Krzysztof yran, Piotr zekalski (edt.) 1. lassification of bistable devices

More information

CS311 Lecture: Sequential Circuits

CS311 Lecture: Sequential Circuits CS311 Lecture: Sequential Circuits Last revised 8/15/2007 Objectives: 1. To introduce asynchronous and synchronous flip-flops (latches and pulsetriggered, plus asynchronous preset/clear) 2. To introduce

More information

FORDHAM UNIVERSITY CISC 3593. Dept. of Computer and Info. Science Spring, 2011. Lab 2. The Full-Adder

FORDHAM UNIVERSITY CISC 3593. Dept. of Computer and Info. Science Spring, 2011. Lab 2. The Full-Adder FORDHAM UNIVERSITY CISC 3593 Fordham College Lincoln Center Computer Organization Dept. of Computer and Info. Science Spring, 2011 Lab 2 The Full-Adder 1 Introduction In this lab, the student will construct

More information

EXPERIMENT 8. Flip-Flops and Sequential Circuits

EXPERIMENT 8. Flip-Flops and Sequential Circuits EXPERIMENT 8. Flip-Flops and Sequential Circuits I. Introduction I.a. Objectives The objective of this experiment is to become familiar with the basic operational principles of flip-flops and counters.

More information

ELEC 2210 - EXPERIMENT 1 Basic Digital Logic Circuits

ELEC 2210 - EXPERIMENT 1 Basic Digital Logic Circuits Objectives ELEC - EXPERIMENT Basic Digital Logic Circuits The experiments in this laboratory exercise will provide an introduction to digital electronic circuits. You will learn how to use the IDL-00 Bit

More information

exclusive-or and Binary Adder R eouven Elbaz reouven@uwaterloo.ca Office room: DC3576

exclusive-or and Binary Adder R eouven Elbaz reouven@uwaterloo.ca Office room: DC3576 exclusive-or and Binary Adder R eouven Elbaz reouven@uwaterloo.ca Office room: DC3576 Outline exclusive OR gate (XOR) Definition Properties Examples of Applications Odd Function Parity Generation and Checking

More information

Binary Adders: Half Adders and Full Adders

Binary Adders: Half Adders and Full Adders Binary Adders: Half Adders and Full Adders In this set of slides, we present the two basic types of adders: 1. Half adders, and 2. Full adders. Each type of adder functions to add two binary bits. In order

More information

A Digital Timer Implementation using 7 Segment Displays

A Digital Timer Implementation using 7 Segment Displays A Digital Timer Implementation using 7 Segment Displays Group Members: Tiffany Sham u2548168 Michael Couchman u4111670 Simon Oseineks u2566139 Caitlyn Young u4233209 Subject: ENGN3227 - Analogue Electronics

More information

3.Basic Gate Combinations

3.Basic Gate Combinations 3.Basic Gate Combinations 3.1 TTL NAND Gate In logic circuits transistors play the role of switches. For those in the TTL gate the conducting state (on) occurs when the baseemmiter signal is high, and

More information

DIGITAL TECHNICS II. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute

DIGITAL TECHNICS II. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute DIGITAL TECHNICS II Dr. Bálint Pődör Óbuda University, Microelectronics and Technology Institute 2. LECTURE: ELEMENTARY SEUENTIAL CIRCUITS: FLIP-FLOPS 1st year BSc course 2nd (Spring) term 2012/2013 1

More information

DM9368 7-Segment Decoder/Driver/Latch with Constant Current Source Outputs

DM9368 7-Segment Decoder/Driver/Latch with Constant Current Source Outputs DM9368 7-Segment Decoder/Driver/Latch with Constant Current Source Outputs General Description The DM9368 is a 7-segment decoder driver incorporating input latches and constant current output circuits

More information

CpE358/CS381. Switching Theory and Logical Design. Class 10

CpE358/CS381. Switching Theory and Logical Design. Class 10 CpE358/CS38 Switching Theory and Logical Design Class CpE358/CS38 Summer- 24 Copyright 24-373 Today Fundamental concepts of digital systems (Mano Chapter ) Binary codes, number systems, and arithmetic

More information

SECTION C [short essay] [Not to exceed 120 words, Answer any SIX questions. Each question carries FOUR marks] 6 x 4=24 marks

SECTION C [short essay] [Not to exceed 120 words, Answer any SIX questions. Each question carries FOUR marks] 6 x 4=24 marks UNIVERSITY OF KERALA First Degree Programme in Computer Applications Model Question Paper Semester I Course Code- CP 1121 Introduction to Computer Science TIME : 3 hrs Maximum Mark: 80 SECTION A [Very

More information

CHAPTER 11 LATCHES AND FLIP-FLOPS

CHAPTER 11 LATCHES AND FLIP-FLOPS CHAPTER 11 LATCHES AND FLIP-FLOPS This chapter in the book includes: Objectives Study Guide 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop

More information

Standart TTL, Serie 74... Art.Gruppe 13.15. 1...

Standart TTL, Serie 74... Art.Gruppe 13.15. 1... Standart TTL, Serie 74... Art.Gruppe 13.15. 1... Standart TTL, Serie 74... 7400 Quad 2-Input Nand Gate (TP) DIL14 7402 Quad 2 Input Nor Gate (TP) DIL14 7403 Quad 2 Input Nand Gate (OC) DIL14 7404 Hex Inverter

More information

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell.

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell. CHAPTER 4 THE ADDER The adder is one of the most critical components of a processor, as it is used in the Arithmetic Logic Unit (ALU), in the floating-point unit and for address generation in case of cache

More information

DATA SHEETS DE COMPONENTES DA FAMÍLIA LÓGICA TTL GATES AND INVERTERS POSITIVES NAND GATES AND INVERTERS DESCRIÇÃO

DATA SHEETS DE COMPONENTES DA FAMÍLIA LÓGICA TTL GATES AND INVERTERS POSITIVES NAND GATES AND INVERTERS DESCRIÇÃO GATES AND INVERTERS POSITIVES NAND GATES AND INVERTERS Hex Invertes 74LS04 Quadruple 2 Inputs Gates 74LS00 Triple 3 Inputs Gates 74LS10 Dual 4 Inputs Gates 74LS20 8 Inputs Gates 74LS30 13 Inputs Gates

More information

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit Modeling Sequential Elements with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 4-1 Sequential Circuit Outputs are functions of inputs and present states of storage elements

More information

Sequential Logic: Clocks, Registers, etc.

Sequential Logic: Clocks, Registers, etc. ENEE 245: igital Circuits & Systems Lab Lab 2 : Clocks, Registers, etc. ENEE 245: igital Circuits and Systems Laboratory Lab 2 Objectives The objectives of this laboratory are the following: To design

More information

Digital Electronics Part I Combinational and Sequential Logic. Dr. I. J. Wassell

Digital Electronics Part I Combinational and Sequential Logic. Dr. I. J. Wassell Digital Electronics Part I Combinational and Sequential Logic Dr. I. J. Wassell Introduction Aims To familiarise students with Combinational logic circuits Sequential logic circuits How digital logic gates

More information

Counters are sequential circuits which "count" through a specific state sequence.

Counters are sequential circuits which count through a specific state sequence. Counters Counters are sequential circuits which "count" through a specific state sequence. They can count up, count down, or count through other fixed sequences. Two distinct types are in common usage:

More information

Figure 8-1 Four Possible Results of Adding Two Bits

Figure 8-1 Four Possible Results of Adding Two Bits CHPTER EIGHT Combinational Logic pplications Thus far, our discussion has focused on the theoretical design issues of computer systems. We have not yet addressed any of the actual hardware you might find

More information

Combinational Logic Design

Combinational Logic Design Chapter 4 Combinational Logic Design The foundations for the design of digital logic circuits were established in the preceding chapters. The elements of Boolean algebra (two-element switching algebra

More information

plc numbers - 13.1 Encoded values; BCD and ASCII Error detection; parity, gray code and checksums

plc numbers - 13.1 Encoded values; BCD and ASCII Error detection; parity, gray code and checksums plc numbers - 3. Topics: Number bases; binary, octal, decimal, hexadecimal Binary calculations; s compliments, addition, subtraction and Boolean operations Encoded values; BCD and ASCII Error detection;

More information

LFSR BASED COUNTERS AVINASH AJANE, B.E. A technical report submitted to the Graduate School. in partial fulfillment of the requirements

LFSR BASED COUNTERS AVINASH AJANE, B.E. A technical report submitted to the Graduate School. in partial fulfillment of the requirements LFSR BASED COUNTERS BY AVINASH AJANE, B.E A technical report submitted to the Graduate School in partial fulfillment of the requirements for the degree Master of Science in Electrical Engineering New Mexico

More information

7. Latches and Flip-Flops

7. Latches and Flip-Flops Chapter 7 Latches and Flip-Flops Page 1 of 18 7. Latches and Flip-Flops Latches and flip-flops are the basic elements for storing information. One latch or flip-flop can store one bit of information. The

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: igital Circuits Chapter 7 Sequential Elements r. Curtis Nelson Sequential Elements In this chapter you will learn about: circuits that can store information; Basic cells, latches, and flip-flops;

More information

DIGITAL TECHNICS II. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute 5. LECTURE: REGISTERS AND RELATED

DIGITAL TECHNICS II. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute 5. LECTURE: REGISTERS AND RELATED DIGITAL TECHNICS II Dr. Bálint Pődör Óbuda University, Microelectronics and Technology Institute 5. LECTURE: REGISTERS AND RELATED 2nd (Spring) term 22/23 5. LECTURE: REGISTERS. Storage registers 2. Shift

More information

Digital Design. Assoc. Prof. Dr. Berna Örs Yalçın

Digital Design. Assoc. Prof. Dr. Berna Örs Yalçın Digital Design Assoc. Prof. Dr. Berna Örs Yalçın Istanbul Technical University Faculty of Electrical and Electronics Engineering Office Number: 2318 E-mail: siddika.ors@itu.edu.tr Grading 1st Midterm -

More information

2.0 Chapter Overview. 2.1 Boolean Algebra

2.0 Chapter Overview. 2.1 Boolean Algebra Thi d t t d ith F M k 4 0 2 Boolean Algebra Chapter Two Logic circuits are the basis for modern digital computer systems. To appreciate how computer systems operate you will need to understand digital

More information

LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters

LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters LAB OBJECTIVES 1. Introduction to latches and the D type flip-flop 2. Use of actual flip-flops to help you understand sequential

More information

PLL frequency synthesizer

PLL frequency synthesizer ANALOG & TELECOMMUNICATION ELECTRONICS LABORATORY EXERCISE 4 Lab 4: PLL frequency synthesizer 1.1 Goal The goals of this lab exercise are: - Verify the behavior of a and of a complete PLL - Find capture

More information

CHAPTER IX REGISTER BLOCKS COUNTERS, SHIFT, AND ROTATE REGISTERS

CHAPTER IX REGISTER BLOCKS COUNTERS, SHIFT, AND ROTATE REGISTERS CHAPTER IX-1 CHAPTER IX CHAPTER IX COUNTERS, SHIFT, AN ROTATE REGISTERS REA PAGES 249-275 FROM MANO AN KIME CHAPTER IX-2 INTROUCTION -INTROUCTION Like combinational building blocks, we can also develop

More information

The string of digits 101101 in the binary number system represents the quantity

The string of digits 101101 in the binary number system represents the quantity Data Representation Section 3.1 Data Types Registers contain either data or control information Control information is a bit or group of bits used to specify the sequence of command signals needed for

More information

Registers & Counters

Registers & Counters Objectives This section deals with some simple and useful sequential circuits. Its objectives are to: Introduce registers as multi-bit storage devices. Introduce counters by adding logic to registers implementing

More information

ANALOG & DIGITAL ELECTRONICS

ANALOG & DIGITAL ELECTRONICS ANALOG & DIGITAL ELECTRONICS Course Instructor: Course No: PH-218 3-1-0-8 Dr. A.P. Vajpeyi E-mail: apvajpeyi@iitg.ernet.in Room No: #305 Department of Physics, Indian Institute of Technology Guwahati,

More information

Napier University. School of Engineering. Electronic Engineering A Module: SE42205 Digital Design

Napier University. School of Engineering. Electronic Engineering A Module: SE42205 Digital Design Napier University School of Engineering Digital Design Clock + U1 out 5V "1" "2" "4" JK-FF D JK-FF C JK-FF B U8 SN7408 signal U4 SN74107 U5 SN74107 U6 SN74107 U3 SN7408 U2 J Q J Q & J Q & K CQ K CQ K CQ

More information

Decimal Number (base 10) Binary Number (base 2)

Decimal Number (base 10) Binary Number (base 2) LECTURE 5. BINARY COUNTER Before starting with counters there is some vital information that needs to be understood. The most important is the fact that since the outputs of a digital chip can only be

More information

Digital Systems Laboratory

Digital Systems Laboratory Eskişehir Osmangazi University Digital Systems Laboratory Rev 3.01 February 2011 LIST OF EXPERIMENTS 1. BINARY AND DECIMAL NUMBERS 2. DIGITAL LOGIC GATES 3. INTRODUCTION TO LOGICWORKS 4. BOOLEAN ALGEBRA

More information

Layout of Multiple Cells

Layout of Multiple Cells Layout of Multiple Cells Beyond the primitive tier primitives add instances of primitives add additional transistors if necessary add substrate/well contacts (plugs) add additional polygons where needed

More information

A Lesson on Digital Clocks, One Shots and Counters

A Lesson on Digital Clocks, One Shots and Counters A Lesson on Digital Clocks, One Shots and Counters Topics Clocks & Oscillators LM 555 Timer IC Crystal Oscillators Selection of Variable Resistors Schmitt Gates Power-On Reset Circuits One Shots Counters

More information

United States Naval Academy Electrical and Computer Engineering Department. EC262 Exam 1

United States Naval Academy Electrical and Computer Engineering Department. EC262 Exam 1 United States Naval Academy Electrical and Computer Engineering Department EC262 Exam 29 September 2. Do a page check now. You should have pages (cover & questions). 2. Read all problems in their entirety.

More information

A Lesson on Digital Clocks, One Shots and Counters

A Lesson on Digital Clocks, One Shots and Counters A Lesson on Digital Clocks, One Shots and Counters Topics Clocks & Oscillators LM 555 Timer IC Crystal Oscillators Selection of Variable Resistors Schmitt Gates Power-On Reset Circuits One Shots Counters

More information