State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop



Similar documents
Complete ASIC & COT Solutions

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems.

7a. System-on-chip design and prototyping platforms

Custom design services

Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

ECE 410: VLSI Design Course Introduction

Introduction to Digital System Design

Quality. Stages. Alun D. Jones

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

Introduction to System-on-Chip

Dual Integration - Verschmelzung von Wafer und Panel Level Technologien

3D innovations: From design to reliable systems

Architectures and Platforms

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor

3D ICs with TSVs Design Challenges and Requirements

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology

EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview

Testing of Digital System-on- Chip (SoC)

IL2225 Physical Design

Advanced VLSI Design CMOS Processing Technology

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE

NATIONAL SUN YAT-SEN UNIVERSITY

Thermal Modeling Methodology for Fast and Accurate System-Level Analysis: Application to a Memory-on-Logic 3D Circuit

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU

EEC 119B Spring 2014 Final Project: System-On-Chip Module

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Fraunhofer Institute for Telecommunications

SoC Curricula at Tallinn Technical University

How To Integrate 3D-Ic With A Multi Layer 3D Chip

Codesign: The World Of Practice

MEMS Processes from CMP

Fraunhofer ISIT, Itzehoe 14. Juni Fraunhofer Institut Siliziumtechnologie (ISIT)

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Alpha CPU and Clock Design Evolution

Digital Systems Design! Lecture 1 - Introduction!!

Implementation of Short Reach (SR) and Very Short Reach (VSR) data links using POET DOES (Digital Opto- electronic Switch)

Acoustic/Electronic stack design, interconnect, and assembly Techniques available and under development

Concevoir et produire des semiconducteurs en Europe: une Utopie? Let s have a look

What is a System on a Chip?

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

AMFitzgerald Company Overview

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

Digital Systems. Role of the Digital Engineer

Design-Kits, Libraries & IPs

Corporate presentation. CNES Décembre

Creating Affordable Silicon

University of Texas at Dallas. Department of Electrical Engineering. EEDG Application Specific Integrated Circuit Design

INF4420 Introduction

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers.

POET Technologies Enabling the Future. Corporate Overview February 2015

VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011

Embedding components within PCB substrates

9/14/ :38

Course code Course name ECTS Autumn Spring. ELEC-E8101 Digital and Optimal Control 5 X. ELEC-E8102 Distributed and Intelligent Automation Systems 5 X

System-on-Chip Design with Virtual Components

Click to edit Master title style. The Prospects for Cost-Competitive Photovoltaics: From Nanoscale Science to Macroscale Manufacturing

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic

Eurotraining survey on Microsytems training requirements

design Synopsys and LANcity

Yield Is Everyone s s Issue. John Kibarian CEO, President and Founder PDF Solutions

on-chip and Embedded Software Perspectives and Needs

Concept Engineering Adds JavaScript-based Web Capabilities to Nlview at DAC 2016

Dry Film Photoresist & Material Solutions for 3D/TSV

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler

SR. SIGNAL INTEGRITY ENGINEER

TowerJazz High Performance SiGe BiCMOS processes

Solid State Electronics and Photonics Electrical and Computer Engineering The Ohio State University

3D-IC Integration Developments & Cooperations for servicing

Material Requirements For 3D IC and Packaging Presented by: W. R. Bottoms

White Paper 40-nm FPGAs and the Defense Electronic Design Organization

Development of a Design & Manufacturing Environment for Reliable and Cost-Effective PCB Embedding Technology

Miniaturizing Avionics Technologies for Small Body Missions

Analog Integrated Circuit Design: Why?

Digital Integrated Circuit (IC) Layout and Design

September 25, Maya Gokhale Georgia Institute of Technology

IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits

RADSAGA Resubmission. First meeting. Steffen MUELLER Alexander KOELPIN. Institute for Electronics Engineering (LTE) University of Erlangen-Nuremberg

Recent developments in high bandwidth optical interconnects. Brian Corbett.

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Seeking Opportunities for Hardware Acceleration in Big Data Analytics

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM

Solutions for Mixed-Signal SoC Verification New techniques that are making advanced SoC verification possible

Build your own solution with UMS

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing

Wireless Sensor Networks

SiP & Embedded Passives ADEPT-SiP Project

Specializing in Open Cavity Packages & Complete IC Assembly Services ISO 9001:2008 Certified and ITAR Registered

Space product assurance

Welcome & Introduction

A Gigabit Transceiver for Data Transmission in Future HEP Experiments and An overview of optoelectronics in HEP

FPGAs in Next Generation Wireless Networks

Prototyping Printed Circuit Boards

InvenSense MPU Axis Accelerometer Gyroscope MEMS Motion Sensor

Systems on Chip Design

Transcription:

Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC Products Sandia National Laboratories 505-284-9673 August 26, 2014 Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy s National Nuclear Security Administration under contract DE-AC04-94AL85000. SAND No. 2014-16940

Sandia Microsystems & Engineering Sciences Applications (MESA) Trusted Digital, Analog, Mixed Signal & RF Integrated Circuits Design & Fabrication Custom IC Design - Secure microcontrollers - Analog/Digital/RF - IBM Trusted Foundry - Tamper Resistant Micromachining RAD Effects & Assurance Failure Analysis, Reliability Physics Test & Validation 3-D Integration Features 400,000 Sq-ft Complex with >650 Employees Silicon Fabrication Modeling, Simulation & Systems Integration Compound Semiconductor Fabrication Advanced Computation Modeling & Simulation COTS Qualification Advanced Packaging Custom Electronic Components System Design & Test Materials Research Compound Semiconductor Epitaxial Growth Photonics, Optoelectronics MEMS, VCSELs Specialized Sensors Materials Science Nanotechnology, Chem/Bio Mixed-Technology Integration & Processing III-V Semiconductor Devices - Neutron-Immune HBT - Rad-hard Optical Links - Solid-State RF Devices 2

Sandia Microelectronics Development Develop digital, analog, and mixed-signal integrated circuits (IC) along with a deep understanding of technology offerings and design methodologies Develop trusted integrated circuits Access to trusted resources and facilities for design, layout, fabrication, packaging, test, qualification, and product delivery assuring full chain-of-custody On-shore, US owned Security clearances, processes and/or facilities DOD trusted accreditation Mature relationship with IBM Trusted foundry using advanced technology Access to other government trusted suppliers Develop radiation hardened integrated circuits Access to strategic radiation hardened in-house technology Radiation hardened by design for commercial technologies Develop high-reliability integrated circuits for high consequence systems Weapons system components Security-based and/or classified components Deliver low volume integrated circuits Providing ICs where commercial industry cannot or will not supply 3

Sandia Trusted Design Delivering ASICs from Sandia Radiation Hardened Trusted Foundry Meets the needs for strategic radiation hardened programs Flexible to accommodate research activities IBM Trusted Foundry: 52 Designs 12 10 8 6 4 2 0 IBM Trusted Foundry Designs FY05 FY06 FY07 FY08 FY09 FY10 FY11 FY12 FY13 FY14 History and Experience with Other Trusted Suppliers National Semiconductor Corporation Foundry Honeywell Foundry Partnerships with Northrop Grumman Jazz Semiconductor 14FIN - 14nm 32SOI - 32nm 12SO - 45nm 10SF - 65nm 10LP - 65nm 9SF - 90nm 9LP - 90nm 8NV - 130nm 8RF - 130nm 4

Sandia Mixed Signal SoC Design Full Mixed-Signal IC Design Flow Industry Standard EDA/CAD Tools Mixed-Signal & Co-Simulation SPICE; Verilog-A; AMS; RTL SoA SoC Universal (UVM) Formal SoA Synthesis & APR Flow Intellectual Property (IP) Silicon Fabric/Motherboard SoC Design Integration & Custom IP (Hard & Soft) 3D Integration & Flow 3D Automated Place & Route 3D Sandia Mixed Signal SoC Design pdk lib IP RTL Design Synthesis Sub-Block Design Physical Design Schematic Capture Model Development Top Level Integration & Mixed Signal VIARRAY Synthesis & Static Timing VIARRAY DIGITAL Place & Route DIGITAL Requirements Scoping & Project Planning MIXED MIXED SDF GDS ANALOG Physical Design Parasitic Cadence Mentor Synopsys Physical 5

SoC Design Abstraction Evolution & HPC Evolution of abstraction (Digital/Analog/AMS) Driven by complexity, cost, & time to market Analogous to the evolution of COTs PCB design Chip scale architecture evaluation & trade space Rapidly optimize architecture to the problem New hierarchy of designers in SoC development System level designers drive architecture trades Rapid prototyping & simulation tools High performance, scalable, multi-core platforms Proliferation of suite of IP building blocks Silicon fabric or motherboard (3D?) Reduced cost and risk Pre-verified IP with design kits suite Optimized for yield and manufacturing FPGA SoC platforms Traditional FPGA benefits Less flexibility Evolution of Digital Design Abstraction Increased Simulation Scope Increased Abstraction Reduced Simulation Time Design Synthesis Standard Cell Library Development Logic Cell [SPICE] Architecture System Model [SystemVerilog/SystemC] RTL Design [Verilog/VHDL] Synthesized Gate Level Netlist [Verilog] Physical Design (APR) Standard Cell Library Characterization Logic Cell Library Timing Library Development [LIB] SoC IP Simulation [Vendor Specific] Physical Netlist [SPICE] Logic Cell Definition [Schematic,Netlist] BSIM Model Development [UTMOST/VYPER] Logic Cell Library Physical Abstraction [GDS,LEF] Logic Cell Physical Design [GDS] 3D Physics Modeling [ATHENA] 6

3D Integration Development & Commercial Adoption Niche applications with specialized process flows Requires specialized processing, tools, materials research Additional yield loss in handling and process steps New design and test paradigms Limited CAD tool support (custom flow development) Combined assembly & test for yield (known good die) Limited by additional cost of 2.5D/3D fabrication Through Silicon Via s (TSV) Precision Etch & Singulation Liner Deposition & Metal Fill Bonding TSV Exposure Backgrinding and Thinning

Commercial Trends 2.5D will continue to see extended use and will dominate market first Easy adoption (evolutionary not revolutionary) 3D Drivers High performance processors to overcome bandwidth limitations and improve power performance Mixed-signal designs in which analog functionality does not benefit from node shrink (cost savings) Higher cost of adoption, requires new paradigm in design Heterogeneous integration may offset cost of adoption long-term Applications drive development High Density Interconnect: Pixelated sensors require high density interconnect, small pitch, millions of connections Can tolerate less than 100% functionality (a dead pixel is ok ) Low/Medium Density Interconnects: High performance processors and custom heterogeneous solutions will drive low/medium density solutions. Requires high yield and will tolerate larger interconnects.

3D Integration Considerations Custom u-systems & Heterogeneous Integration Layer-to-Layer Interconnect Density TSV Requirements (diameter, pitch) Stacked Alignment Accuracy Tiling Accuracy Placement Requirements Wafer Level vs. Die Level Requirements & Assembly Most High Density Processes Require Wafer-To-Wafer Bonding Wafer level processing required to define TSV s and interconnect layers Wafer level processing required to thin wafer backside to reveal TSV s Wafer Level Fabrication At Advanced Technology Nodes Can Be Cost Prohibitive Costs Are More Manageable Using Multi-Project Wafer (MPW) Runs Wafer contains designs for other users; Only die are ultimately available, which can complicate 3D processing approach Circuitry must be partitioned to contain interconnect density