A Mixed-Signal System-on-Chip Audio Decoder Design for Education

Size: px
Start display at page:

Download "A Mixed-Signal System-on-Chip Audio Decoder Design for Education"

Transcription

1 A Mixed-Signal System-on-Chip Audio Decoder Design for Education R. Koenig, A. Thomas, M. Kuehnle, J. Becker, E.Crocoll, M. Siegel <koenig, thomas, kuehnle, <e.crocoll, Abstract A good harware design requires both competent theoretical as well as practical knowledge in the areas of architecture specification and design. While the theoretical background is given in several lectures gaining practical knowledge is somewhat more difficult. To face this problem a laboratory has been established. Analog as well as digital hardware components have to be realized to build up a mixed-signal System-on-Chip (SoC) for audio decoding within this course. The goal of this laboratory is to make students familiar not only with practical aspects of Hardware/Software Codesign of embedded systems but also with the steps that have to be performed in a typical ASIC design flow. 1. Introduction The progress in modern integration technologies in the last few years and the increase of the applications demands afford more and more complex system designs. This trend will go on for at least the next decade. Due to Moore s Law the integration density gets doubled every two years and so does the complexity of the integrated systems by keeping the used chip area constant. Now, since reached potential in VLSI offers new ways to implement whole systems on one single die and reduce the costs for target devices new challenges raised in terms of development issues. The developer has to take new system aspects into account in terms of being able to design a completely integrated system. Beneath digital system components the designer has to consider analog design aspects as well. Due to cost saving analog HF front-ends or simply communication interfaces with analog parts are getting increasingly integrated on the same silicon die. The resulting physical effects of the digital domain on the analog circuits have to be taken into account otherwise the system won t work properly. Merely the composition of several components of different types to a whole system requires broad knowledge in systems engineering which covers the hardware topics as well as software issues. Therefore the designer has to be familiar with Hardware/Software codesign requirements to be able to meet the right design decisions. Thus at least a minimum expertise in software development is absolutely essential and should be taken into account in the education. Beyond all mentioned design aspects and issues there are still other challenges to be mastered. Established software programming languages are in most cases a well known instrument to build applications. Almost each student has some experiences with it. Unlikely to software programming, hardware description needs a new way of thinking and has to be mastered by gathering experience with hardware description languages. Therefore this aspect should be practiced in every laboratory to keep the students in touch and improve their abilities. Teaching System-on-Chip issues, it is also very important to learn the handling of design and verification tools. Several, mostly very expensive tools are available on the market, e.g. from Synopsys or Cadence, but not reachable for students. Usually, the first contact and first experiences are made during the master thesis, which is obviously far too late to assure a complete System-on- Chip educational degree. The required knowledge in this field is reaching from initial functional simulation over logic synthesis to layout design and post layout verification processes. This contribution introduces a new design laboratory that has been established in the course of a new program of study called System-on-Chip at the University of Karlsruhe (TH). This program includes several lectures considering chip design and CAD issues including digital as well as analog topics. The new laboratory is an opportunity for the students to make first experiences in designing a complete system including simulation, synthesis, layout design and software programming. The next chapter will give a short overview of the system used in our laboratory. Section three discusses the initial SoC implementation, software issues and FPGA prototyping. In section four the standard cell synthesis and layout design will be introduced and the analog design part follows up in section five. A conclusion rounds off this contribution in section six.

2 2. The Mixed-Signal SoC An Overview It has been decided that the concept of this laboratory will be based on the development of a Mixed-Signal System-on-Chip architecture realizing an audio decoder. This decision was made for two reasons. On the one hand it was intended to make the laboratory more attractive to the students by realizing a design which comes close to devices currently available on the market. Thus the students should be able to obtain an insight and a basic understanding of the efforts that have to be made to design such a device. One the other hand an architecture had to be found providing analog as well as digital aspects whereas the design s complexity had to be at such a level so that it could be realized within a three week half day laboratory. It appeared that the development of an audio decoder seems to be a good compromise, satisfying all the requirements mentioned above. An investigation was made to determine a codec that finally should be implemented on the architecture. Here it was important that the Codec itself was well documented. Only with the knowledge about all the details it is possible to realize a standard conform software implementation. The documentation also was necessary to identify all those parts of the application, which show computational intensive characteristics. Finally the OggVorbis Codec has been chosen for implementation. The Codec not only provides a very good sound quality it also is very well documented since it is an open source project and can thus be used without paying royalty fees. In advance a deeper analysis of the codec has been performed to evaluate critical parts of the application. Thereby it turned out that the Inverse Modified Discrete Cosinus Transformation (IMDCT) is the most demanding algorithm. From the hardware point of view it was clear, that the architecture would in general consist of a digital to analog converter including an audio amplifier and a microcontroller. Since a good knowledge of the Leon processor is available it successfully is used in several other laboratories as well as research projects at our institute [1] it was decided to use this processor as the main CPU of the SoC. Like the OggVorbis audio codec, the Leon processor is made available under the LGPL and thus free to use. The processor is developed by Gaisler Research [2], originally foreseen for space mission, but meanwhile used in a lot of embedded designs. The processor is compatible to the SPARC V8 instruction set and programmed in VHDL. The design is widely configurable and can simply be enhanced by further modules due to its open structure which is based on the AMBA AHB/APB [3] bus concept, developed by ARM. While the processor is very well suited to handle typical tasks of a microprocessor, it is less appropriate for handling algorithms which are typically dedicated to the DSP domain. Thus the processor itself is not able to decode audio codecs in real time. In order to still being able to handle such scenarios a module accelerating the IMDCT calculation had to be added. In principal an OggVorbis specific solution would have been sufficient. However a more general solution was envisaged, to keep the SoC design more flexible for future use. In general two possibilities exist for IMDCT hardware acceleration. As a first approach the IMDCT could be calculated performing a complex ifft. To keep such a structure generic regarding the size of the IMDCT and thus that of the ifft including the pre and post processing functions is quite complex. E.g., to compute a 960 point IMDCT as used in the AAC Codec of the DRM audio coding part, radix of 3, 4, and 5 would be required. Regarding the handling of the several stages and twiddle factors of the complex FFTs, the design would become too complex. At this point a much more interesting alternative seems to be the algorithms presented in [4]. The calculation performed to obtain a single spectral value from a set of values in the time domain - and vice versa is based on a recursive approach as depicted in figure 1. Figure 1. recursive structure to compute a single value in time from a set of spectral values To obtain a speedup of the IMDCT algorithm, a certain number of the recursive structures also called fingers in the following - can simply be foreseen on a chip to perform a parallel computation of an arbitrary number of time or spectral values. To calculate all the values required, the fingers operate in a time division manner. The control of the time multiplexing is thereby steered by a controller that is part of the implemented IMDCT unit. The controller can thereby be configured to handle an arbitrary IMDCT size which effectively is only limited by the size of the in- and output buffers for storing the time and spectral values. Thus the hardware architecture is very well suited to handle other IMDCT based audio codecs as well, e.g. MP3, AAC or AC-3. Compared to the FFT solution, the recursive version is of increased complexity. Nevertheless the much more simplified design as well as the support of an IMDCT of arbitrary size justifies the decision to use the recursive approach in the context of this laboratory.

3 The IMDCT unit is integrated into the SoC via the AHB and APB bus, see figure 2. The APB bus connection is used for configuration and control of the IMDCT unit. This task has to be performed rarely and the amount of data is limited, so that the APB is the first choice. In contrast the AHB is needed for DMA-like transfer of spectral and time values from and to the main memory, where the IMDCT unit has to act as master on the bus. This task is crucial for reaching real time performance and thus a high speed bus is needed. The analog part of the SoC is connected to the Leon processor via the APB. The performance of the bus is still sufficient so that the quality of the audio signal is not limited. 3. SoC implementation on FPGA Generally speaking, a good methodology in education is always to start with something touchable. For this reason, the decision has been made to commence this laboratory with the development of a SoC and its implementation on a FPGA platform. A XUPV2P board from Xilinx has been chosen for design prototyping. As already mentioned in the overview chapter, the SoC contains a microcontroller, its bus peripherals and a reconfigurable coprocessor module. The goal of this part of the course is to finally have the multimedia application running on the provided board. Therefore hardware and software has to be developed. Adaptations in VHDL of the processor and its peripherals, its synthesis and analysis are be done using the Xilinx ISE 7.1 Software - a complete FPGA development environment. The simulation containing waveform analysis will be done using Modelsim 6.1 from Modeltech. The intention is to raise the student s awareness of two main topics. On the one hand the students have to become familiar with the standard tool chain of FPGA design, including simulation, verification, debugging and synthesis. On the other hand it is important to provide an idea about the design methodologies for parallel systems nowadays, where the main design issues can be found in hardware software interfacing and synchronization. Future SoC designs will more and more export highly computational algorithms to dedicated hardware modules. These hardware software co-design strategies are studied within the SoC laboratory in practice. The system will be integrated on an FPGA as shown in figure 2. Starting from a software reference design, where the complete multimedia application is executed on the microcontroller, the traditional von Neumann idea is being recalled and illustrated. The student s first task is the extraction of the most computation intensive algorithm, the IMDCT, from software C-Code and its implementation in hardware (VHDL). Figure 2. SoC after co-processor integration A wrapper module that handles the LEON commands and the AMBA interfacing is provided to speed up the development. The students have therefore to open the wrapper module and to integrate the IMDCT algorithm into the wrapper using the Xilinx ISE tool. Thus they get in touch and explore the FPGA tools for the first time. They have to take into account that the algorithm has to be fixed point compliant. Further more memory modules are essential to intermediately store cosine values and to buffer data transfers through the busses. These are going to be designed with the help of the Xilinx core generator utility. So, the students learn how to instantiate template modules in their design. The newly developed IMDCT module has further to be connected to the existing LEON system, hence the AHB and APB bus configurations of the SoC have to be adapted by the students. Subsequently, the challenge is the modification of the driver in order to be able to communicate with the IMDCT module. This Software is also used for test purposes, i.e. it resembles the system s test bench. Therefore, the students have to explore the programming interface of the IMDCT wrapper module, which is its controller implemented as a FSM and its registers. Surely, debugging and verification will play a major role during the whole system design, particularly in terms of time consumption. Thus system simulation will follow now in the design flow. However, before a simulation can be launched, the hardware as well as the software have to be compiled. For hardware compilation, scripts are available, that can be executed in Modelsim. The software will be compiled by a gnu cross compiler for the Leon architecture. Finally, to top off the SoC development process, FPGA synthesis and a system analysis with respect to performance constraints will be accomplished. Here a critical path analysis has to be done, where the students have to countercheck in the simulation results of

4 Modelsim how the timing within their IMDCT implementation can be improved. This may result in the necessity of VHDL re-design. If the constraints are met, the FPGA has to be set up and the bit-file has to be synthesized and downloaded though a JTAG link. A RS232 connection serves as debugging and user interface. From there commands can be entered and finally the students can listen to their developed SoC, an OggVorbis decoder. As it can be seen, the first part of the laboratory tries to cover all aspects that are relevant for SoC architectures, interfaces and the corresponding design flow for FPGAs. Concluding, the students get a detailed insight and handle each step of the development process of state-of-the-art FPGA system design. documentation and have to perform an analysis of the IMDCT unit in order to generate a list of all those parts that need special treatment. Finally, not all the adjustments have to be made by the students; only the register file of the Leon processor has to be realized using the Leon documentation and the memory documentation of the technology provider. Afterwards the correct instantiation of the register files has to be verified by performing a test bench run under ModelSim. 4. SoC Realization using Standard Cells In contrast to an implementation using FPGAs, a standard cell based realization of the design requires much more user interaction as well as detailed knowledge of the individual steps of the design flow that have to be performed to create a fault free layout. While most of the EDA tools for FPGAs hide a lot of the design flow s complexity - a single mouse click in the XILINX ISE tool chain could be sufficient to obtain a FPGA configuration bit file an engineer performing a standard cell design has to be aware that the clock tree will not be generated automatically by the tool, he has to know that the clock tree synthesis is a critical step in the design flow that has to be performed manually, just to give a simple example. Thus the focus of this part of the lab lies on teaching the basic steps of a standard cell design. Therefore the students themselves have to perform the synthesis and layout of the SoC. It can be assumed that most of the students did not have access to state of the art design tools prior to this lab. Aditionally, using those tools for the first time is difficult as they are complex to handle and less self-explaining as one might be used to. Thus a detailed tutorial explaining each tool-step is given to the students to ensure that handling the tools does not lead to an unnecessary delay so that the students can concentrate on the main work flow. In addition scripts have been prepared that are used at critical steps of the design. This will reduce the risk of mistakes made by the students, saves time consuming iterations and thus allows for steady progress. As the first task towards synthesis and layout of the SoC the students have to port the design to the standard cell technology used. Often the students assume that a design is technology independent since it is written in VHDL or Verilog. So it is important to develop an understanding that shows that there are parts of a design that have to match with the under laying technology. Therefore the students have to read the Leon Figure 3. Steps of the layout process As soon as the testbench reports that all tests have been performed successfully, the students are ready to go for the next step, the generation of the SoC s netlist. Since it can not be expected, that someone who never made a synthesis before is able to write a compile script on its own, a set of scripts are available and are used in combination with the Design Vision tool of Synopsys. To ensure that the students make themselves familiar with the subject in detail, they have to analyze the scripts and write down their findings in the lab report. The design of the SoC is thereby very well suited to show several synthesis strategies. While the fingers which perform parts of the IMDCT in parallel, as is could be seen in figure 1, are instantiated several times from the same module, they are subject for a bottom up compile approach. Later on, a top down synthesis strategy will be used for generating the final netlist, including the Leon processor as well as the IMDCT unit where the already synthesized fingers are embedded as don t touch modules. This will lead to a simplified layout flow later on. However, the scripts do not cover all steps of a typical synthesis flow. So the critical path analysis as well as the generation of further timing and area reports have to be done by the students. Additional documentation like synthesis primers as well as lecture notes are accessible, so that the students are able to fulfil this task. When there

5 are no errors reported in the log files of the elaborate and synthesis phase, the functionality will have to be tested once more by a testbench run in ModelSim, now including more realistic timing information by using the sdf file generated during synthesis. To make the more accurate timing visible, the students have to show the differences of waveform snapshots from behavioural level and after synthesis with a good example. discussed whereas the outcome of the investigations has to be explained reasonably. Finally, when all steps of the layout process have successfully been passed, the design has to be checked for design rule violations. Also a Verilog netlist as well as the timing information have to be reported. Once more a simulation run is necessary, now including information about post place and route delay. A layout of the SoC, as it has been developed within our last lab, is shown in figure Full-Custom Analog Design Figure 4. Layout of the SoC In the last step the layout has to be created using the SoC Encounter tool of Cadence. Before the Verilog netlist is ready to be imported into Encounter, the students have to add the power pads to the top level of the netlist according to the given directions. Afterwards the students have to go through the complete design flow, as shown in figure 3. To prevent frustration - the tool is quite complex and there is no undo button to correct wrong input - the individual tasks that have to be done in order to fulfil a single step are once more described in detail. In addition the students are advised to save the design frequently, to have a save state to return to. Further more the core utilization is reduced to less than 65%. This has no impact on the design flow at all but reduces the tool time a lot, especially for the computation intensive parts of the flow as the placement, clock tree synthesis and the route process. To ensure that the learning targets are met, the students have to describe and document the steps that are performed in their own words. Thereby special focus lies on the timing behaviour of the design and its change during the layout process. For this purpose several end path slack diagrams have to be prepared before and after major steps in the design flow. The results will have to be The objectives of the analog design part of the project are to design and simulate a CMOS operational amplifier using the AMS-C035 process, to practice the layout of the amplifier and to perform DRC, extraction, LVS, and postlayout simulation, as well as to apply the amplifier in a low-pass filter and in an audio amplifier with low THD. This part of the lab is a "Bottom Up" or "Full-Custom Design" using cadence design tools. The digital to analog interface is a digital delta sigma modulator, which is not a part of this laboratory. The idea is to design analog standard cells for an automated placement. The intention is to give the students basic knowledge of analog circuit design specifically the design of a simple operational amplifier at low supply voltage levels. Students taking the course should already have basic theoretical knowledge in analog design. The specifications of the amplifier are listed in table 1. Supply Voltage 0 V, 3,3 V Load 5 pf, (8 Ω) DC Voltage Gain > 80 db Unity Gain Bandwidth >100 MHz Phase Margin > 45 Slew Rate > 100 V/µs THD < 1% Table 1: Amplifier Specifications The schedule of this part of the project is set during a 4-full-day period. This is not much time for a practical introduction to analog design, so it has been decided to prepare a fully guided description of all design steps. The students have to provide detailed hand design procedures and hand calculations for each step of the design. The results are further discussed with the students each day before the design task starts. The authors decided to write a text book with instructions to guide the students through all the tasks. Additional texts like chapter 6 of Allen-Holberg [5] and a Texas Instruments [6] application report should be used for the theoretical parts of the tasks. First the students have to run a cook-book like tutorial "Full-Custom Design with Cadence" to get familiar with

6 the needed analog environment design, simulation and layout tools of the Cadence Design Framework. The lab starts with a guided design of a folded cascode operational amplifier. The first task is the design of a single-stage differential amplifier. The students have to provide detailed hand calculations using the standard equations for gain and slew rate to get the drain currents and the parameters of the transistors. At this point the first analog design experience for all students starts. Drawing the schematics of the differential amplifier, doing the different dc, ac and transient simulations and discussing the results to find out how to improve gain, bandwidth or slew rate of the amplifier stage leads to usable results of all designs. Some students got quite ambitious to do the best possible design. The next step was to find out, how a biasing circuit works and how it has to be designed. After solving that part, the amplifier input stage should be expanded to a folded cascode stage to improve gain and bandwidth. After simulation and optimization the gain was still to low, so the students had to design a high gain output stage. They had to find out, by studying [5], that in this case, a CMOS output stage will be suitable to solve the problem. Finally the width of the transistors had to be calculated, to get the load current at the output of the amplifier. Another important part was to show that the frequency response and phase margin will meet the specifications. Figure 5 show one result of the amplifier design. The next step is the layout of the amplifier to design a standard cell that can be used for the following design steps. Because of very extensive layout rules for standard cell design and little time, it has been decided to cut this part of the lab for this first run and to continue with the next task, the filter design. The students had to design a 2nd order low pass filter applying the designed folded cascode amplifier. They had to choose whether to use a multiple feedback or Sallen Key architecture. Furthermore they had to check out which type of filter, Butterworth, Bessel or Chebyshev will fit best for audio signals. They also had to calculate the passive components for the chosen filter design. Some of the students found that Texas Instruments has a filter calculator ready for download on the web. The final design part was the audio amplifier. It must provide 250 mw output power for an 8 Ohm headphone. Some of the students already designed the output stage of the operational amplifier for that load, the other ones had to redesign the stage. The students had to select one of three different amplifier configurations used for audio signals: a single ended amplifier, a fully differential configuration and a bridge-tied load amplifier. They had to choose the bridge-tied load type and to check out how the amplifier had to be designed. Figure 5. Schematics of the final operational amplifier To ensure that the educational targets are met, the students have to describe the performed design steps and simulation results in own words writing a final report. 6. Conclusion This paper described the concept and the realization of a Mixed-Signal System-on-Chip laboratory applied to education. It allows students to gain experience and knowledge not only in practical digital and analog SoC design. Also the FPGA based realization of the system provides a good base to study Hardware/Software Co- Design techniques in hands-on fashion. Although the content of the laboratory deals with complex topics, which require a good preparation and concentrated work of the students, the feedback was throughout very positive. References [1] C. Bieser, J. E. Becker, A. Thomas, K. D. Müller-Glaser, J. Becker: Hardware/Software Co-Training by FPGA/ASIC Synthesis and programming of a RISC Microprocessor- Core [2] Gaisler Research, the home of the Leon Processor [3] Amba-Bus (AMBA AHB/APB), ARM Ltd., UK, [4] Vladimir Nikolajevic and Gerhard Fettweis: New Recursive Algorithms for the Forward and Inverse MDCT [5] P. Allen and D. Holberg, "CMOS Analog Circuit Design", 2nd Edition, 2002,Oxford University Press, ISBN [6] Jim Karki, "Active Low-Pass Filter Design", Texas Instruments Application Report SLOA049A- October 2000

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: "Embedded Systems - ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: Embedded Systems - , Raj Kamal, Publs.: McGraw-Hill Education Lesson 7: SYSTEM-ON ON-CHIP (SoC( SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY 1 VLSI chip Integration of high-level components Possess gate-level sophistication in circuits above that of the counter,

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut.

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut. System-on on-chip Design Flow Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems jouni.tomberg@tut.fi 26.03.2003 Jouni Tomberg / TUT 1 SoC - How and with whom?

More information

Serial port interface for microcontroller embedded into integrated power meter

Serial port interface for microcontroller embedded into integrated power meter Serial port interface for microcontroller embedded into integrated power meter Mr. Borisav Jovanović, Prof. dr. Predrag Petković, Prof. dr. Milunka Damnjanović, Faculty of Electronic Engineering Nis, Serbia

More information

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic Aims and Objectives E 3.05 Digital System Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk How to go

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip

Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip Ms Lavanya Thunuguntla 1, Saritha Sapa 2 1 Associate Professor, Department of ECE, HITAM, Telangana

More information

Rapid System Prototyping with FPGAs

Rapid System Prototyping with FPGAs Rapid System Prototyping with FPGAs By R.C. Coferand Benjamin F. Harding AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO Newnes is an imprint of

More information

Department of Electrical and Computer Engineering Ben-Gurion University of the Negev. LAB 1 - Introduction to USRP

Department of Electrical and Computer Engineering Ben-Gurion University of the Negev. LAB 1 - Introduction to USRP Department of Electrical and Computer Engineering Ben-Gurion University of the Negev LAB 1 - Introduction to USRP - 1-1 Introduction In this lab you will use software reconfigurable RF hardware from National

More information

Design of a High Speed Communications Link Using Field Programmable Gate Arrays

Design of a High Speed Communications Link Using Field Programmable Gate Arrays Customer-Authored Application Note AC103 Design of a High Speed Communications Link Using Field Programmable Gate Arrays Amy Lovelace, Technical Staff Engineer Alcatel Network Systems Introduction A communication

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor A Starter Guide Joseph Yiu November 2014 version 1.02 27 Nov 2014 1 - Background Since the ARM Cortex -M0 Processor was released a few years

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview

EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw Feb. 2013 Course Overview

More information

Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design

Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design Department of Electrical and Computer Engineering Overview The VLSI Design program is part of two tracks in the department:

More information

Pre-tested System-on-Chip Design. Accelerates PLD Development

Pre-tested System-on-Chip Design. Accelerates PLD Development Pre-tested System-on-Chip Design Accelerates PLD Development March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 Pre-tested

More information

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers.

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Nowadays, System Engineers are placed in the centre of two antagonist flows: microelectronic systems

More information

W a d i a D i g i t a l

W a d i a D i g i t a l Wadia Decoding Computer Overview A Definition What is a Decoding Computer? The Wadia Decoding Computer is a small form factor digital-to-analog converter with digital pre-amplifier capabilities. It is

More information

EEC 119B Spring 2014 Final Project: System-On-Chip Module

EEC 119B Spring 2014 Final Project: System-On-Chip Module EEC 119B Spring 2014 Final Project: System-On-Chip Module Dept. of Electrical and Computer Engineering University of California, Davis Issued: March 14, 2014 Subject to Revision Final Report Due: June

More information

Codesign: The World Of Practice

Codesign: The World Of Practice Codesign: The World Of Practice D. Sreenivasa Rao Senior Manager, System Level Integration Group Analog Devices Inc. May 2007 Analog Devices Inc. ADI is focused on high-end signal processing chips and

More information

Embedded Systems Engineering Certificate Program

Embedded Systems Engineering Certificate Program Engineering Programs Embedded Systems Engineering Certificate Program Accelerate Your Career extension.uci.edu/embedded University of California, Irvine Extension s professional certificate and specialized

More information

High-Level Synthesis for FPGA Designs

High-Level Synthesis for FPGA Designs High-Level Synthesis for FPGA Designs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer consultant Cereslaan 10b 5384 VT Heesch

More information

Systems on Chip Design

Systems on Chip Design Systems on Chip Design College: Engineering Department: Electrical First: Course Definition, a Summary: 1 Course Code: EE 19 Units: 3 credit hrs 3 Level: 3 rd 4 Prerequisite: Basic knowledge of microprocessor/microcontroller

More information

A Verilog HDL Test Bench Primer Application Note

A Verilog HDL Test Bench Primer Application Note A Verilog HDL Test Bench Primer Application Note Table of Contents Introduction...1 Overview...1 The Device Under Test (D.U.T.)...1 The Test Bench...1 Instantiations...2 Figure 1- DUT Instantiation...2

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor Von der Hardware zur Software in FPGAs mit Embedded Prozessoren Alexander Hahn Senior Field Application Engineer Lattice Semiconductor AGENDA Overview Mico32 Embedded Processor Development Tool Chain HW/SW

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

Guru Ghasidas Vishwavidyalaya, Bilaspur (C.G.) Institute of Technology. Electronics & Communication Engineering. B.

Guru Ghasidas Vishwavidyalaya, Bilaspur (C.G.) Institute of Technology. Electronics & Communication Engineering. B. Guru Ghasidas Vishwavidyalaya, Bilaspur (C.G.) Institute of Technology Electronics & Communication Engineering B.Tech III Semester 1. Electronic Devices Laboratory 2. Digital Logic Circuit Laboratory 3.

More information

HDL Simulation Framework

HDL Simulation Framework PPC-System.mhs CoreGen Dateien.xco HDL-Design.vhd /.v SimGen HDL Wrapper Sim-Modelle.vhd /.v Platgen Coregen XST HDL Simulation Framework RAM Map Netzliste Netzliste Netzliste UNISIM NetGen vcom / vlog.bmm.ngc.ngc.ngc

More information

The SA601: The First System-On-Chip for Guitar Effects By Thomas Irrgang, Analog Devices, Inc. & Roger K. Smith, Source Audio LLC

The SA601: The First System-On-Chip for Guitar Effects By Thomas Irrgang, Analog Devices, Inc. & Roger K. Smith, Source Audio LLC The SA601: The First System-On-Chip for Guitar Effects By Thomas Irrgang, Analog Devices, Inc. & Roger K. Smith, Source Audio LLC Introduction The SA601 is a mixed signal device fabricated in 0.18u CMOS.

More information

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models System Development Models and Methods Dipl.-Inf. Mirko Caspar Version: 10.02.L.r-1.0-100929 Contents HW/SW Codesign Process Design Abstraction and Views Synthesis Control/Data-Flow Models System Synthesis

More information

Introduction to System-on-Chip

Introduction to System-on-Chip Introduction to System-on-Chip COE838: Systems-on-Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

Product Development Flow Including Model- Based Design and System-Level Functional Verification

Product Development Flow Including Model- Based Design and System-Level Functional Verification Product Development Flow Including Model- Based Design and System-Level Functional Verification 2006 The MathWorks, Inc. Ascension Vizinho-Coutry, avizinho@mathworks.fr Agenda Introduction to Model-Based-Design

More information

Eingebettete Systeme. 4: Entwurfsmethodik, HW/SW Co-Design. Technische Informatik T T T

Eingebettete Systeme. 4: Entwurfsmethodik, HW/SW Co-Design. Technische Informatik T T T Eingebettete Systeme 4: Entwurfsmethodik, HW/SW Co-Design echnische Informatik System Level Design: ools and Flow Refinement of HW/SW Systems ools for HW/SW Co-Design C-based design of HW/SW Systems echnische

More information

SoC Curricula at Tallinn Technical University

SoC Curricula at Tallinn Technical University SoC Curricula at Tallinn Technical University Margus Kruus, Kalle Tammemäe, Peeter Ellervee Tallinn Technical University Phone: +372-6202250, Fax: +372-6202246 kruus@cc.ttu.ee nalle@cc.ttu.ee lrv@cc.ttu.ee

More information

ARM Webinar series. ARM Based SoC. Abey Thomas

ARM Webinar series. ARM Based SoC. Abey Thomas ARM Webinar series ARM Based SoC Verification Abey Thomas Agenda About ARM and ARM IP ARM based SoC Verification challenges Verification planning and strategy IP Connectivity verification Performance verification

More information

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Embedded Processor Hardware Design January 29 th 2015. VIVADO TUTORIAL 1 Table of Contents Requirements... 3 Part 1:

More information

AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD)

AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD) AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD) Samuel Lakeou, University of the District of Columbia Samuel Lakeou received a BSEE (1974) and a MSEE (1976)

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical delivers superior quality

More information

MsC in Advanced Electronics Systems Engineering

MsC in Advanced Electronics Systems Engineering MsC in Advanced Electronics Systems Engineering 1 2 General overview Location: Dijon, University of Burgundy, France Tuition Fees : 475 / year Course Language: English Course duration: 1 year Level: Second

More information

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

Digital IC Design Flow

Digital IC Design Flow Collège Militaire Royal du Canada (Cadence University Alliance Program Member) Department of Electrical and Computer Engineering Départment de Génie Electrique et Informatique RMC Microelectronics Lab

More information

Design-Kits, Libraries & IPs

Design-Kits, Libraries & IPs Design-Kits, Libraries & IPs Supported CAD tools Design-kits overview Digital, Analog, and RF Libraries IPs Supported CAD tools Design-kits overview ST 65nm Tanner PDK Standard cell Libraries IPs austriamicrosystems

More information

NIOS II Based Embedded Web Server Development for Networking Applications

NIOS II Based Embedded Web Server Development for Networking Applications NIOS II Based Embedded Web Server Development for Networking Applications 1 Sheetal Bhoyar, 2 Dr. D. V. Padole 1 Research Scholar, G. H. Raisoni College of Engineering, Nagpur, India 2 Professor, G. H.

More information

FPGA Synthesis Example: Counter

FPGA Synthesis Example: Counter FPGA Synthesis Example: Counter Peter Marwedel Informatik XII, U. Dortmund Gliederung Einführung SystemC Vorlesungen und Programmierung FPGAs - Vorlesungen - VHDL-basierte Konfiguration von FPGAs mit dem

More information

System-On Chip Modeling and Design A case study on MP3 Decoder

System-On Chip Modeling and Design A case study on MP3 Decoder System-On Chip Modeling and Design A case study on MP3 Decoder Pramod Chandraiah, Hans Gunar Schirner, Nirupama Srinivas and Rainer Doemer CECS Technical Report 04-17 June 21, 2004 Center for Embedded

More information

Concept Engineering Adds JavaScript-based Web Capabilities to Nlview at DAC 2016

Concept Engineering Adds JavaScript-based Web Capabilities to Nlview at DAC 2016 KAL - Large IP Cores: Memory Controllers: SD/SDIO 2.0/3.0 Controller SDRAM Controller DDR/DDR2/DDR3 SDRAM Controller NAND Flash Controller Flash/EEPROM/SRAM Controller Dear , Concept Engineering

More information

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS WWW.VONBRAUNLABS.COM Issue #1 VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS State Machine Technology IoT Solutions Learn

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton Dept. of Electrical and Computer Engineering University of British Columbia bradq@ece.ubc.ca

More information

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Clifford Wolf, Johann Glaser, Florian Schupfer, Jan Haase, Christoph Grimm Computer Technology /99 Overview Ultra-Low-Power

More information

Digital Systems. Role of the Digital Engineer

Digital Systems. Role of the Digital Engineer Digital Systems Role of the Digital Engineer Digital Design Engineers attempt to clearly define the problem(s) Possibly, break the problem into many smaller problems Engineers then develop a strategy for

More information

POCKET SCOPE 2. The idea 2. Design criteria 3

POCKET SCOPE 2. The idea 2. Design criteria 3 POCKET SCOPE 2 The idea 2 Design criteria 3 Microcontroller requirements 3 The microcontroller must have speed. 3 The microcontroller must have RAM. 3 The microcontroller must have secure Flash. 3 The

More information

ECE 410: VLSI Design Course Introduction

ECE 410: VLSI Design Course Introduction ECE 410: VLSI Design Course Introduction Professor Andrew Mason Michigan State University Spring 2008 ECE 410, Prof. A. Mason Lecture Notes Page i.1 Age of electronics microcontrollers, DSPs, and other

More information

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU ESE566 REPORT3 Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU Nov 19th, 2002 ABSTRACT: In this report, we discuss several recent published papers on design methodologies of core-based

More information

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler DAC 2008 Philip Watson Philip Watson Implementation Environment Program Manager ARM Ltd Background - Who Are We? Processor

More information

IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR

IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR International Journal of Engineering & Science Research IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR ABSTRACT Pathik Gandhi* 1, Milan Dalwadi

More information

imtech Curriculum Presentation

imtech Curriculum Presentation imtech Curriculum Presentation Effective from Batch 2015 Onwards April, 2015 Course Structure Every course has a fixed number of credits associated with it (e.g., 4 credits) One has to earn 200 credits

More information

MP3 Player CSEE 4840 SPRING 2010 PROJECT DESIGN. zl2211@columbia.edu. ml3088@columbia.edu

MP3 Player CSEE 4840 SPRING 2010 PROJECT DESIGN. zl2211@columbia.edu. ml3088@columbia.edu MP3 Player CSEE 4840 SPRING 2010 PROJECT DESIGN Zheng Lai Zhao Liu Meng Li Quan Yuan zl2215@columbia.edu zl2211@columbia.edu ml3088@columbia.edu qy2123@columbia.edu I. Overview Architecture The purpose

More information

Accurate Measurement of the Mains Electricity Frequency

Accurate Measurement of the Mains Electricity Frequency Accurate Measurement of the Mains Electricity Frequency Dogan Ibrahim Near East University, Faculty of Engineering, Lefkosa, TRNC dogan@neu.edu.tr Abstract The frequency of the mains electricity supply

More information

DESIGN OF MIXED SIGNAL CIRCUITS AND SYSTEMS FOR WIRELESS APPLICATIONS

DESIGN OF MIXED SIGNAL CIRCUITS AND SYSTEMS FOR WIRELESS APPLICATIONS DESIGN OF MIXED SIGNAL CIRCUITS AND SYSTEMS FOR WIRELESS APPLICATIONS Vladimir LANTSOV Computer Engineering Department, Vladimir State University, Gorky Street, 87, 600026, VLADIMIR, Russia, phone: +7

More information

Reconfigurable System-on-Chip Design

Reconfigurable System-on-Chip Design Reconfigurable System-on-Chip Design MITCHELL MYJAK Senior Research Engineer Pacific Northwest National Laboratory PNNL-SA-93202 31 January 2013 1 About Me Biography BSEE, University of Portland, 2002

More information

數 位 積 體 電 路 Digital Integrated Circuits

數 位 積 體 電 路 Digital Integrated Circuits IEE5049 - Spring 2012 數 位 積 體 電 路 Digital Integrated Circuits Course Overview Professor Wei Hwang 黃 威 教 授 Department of Electronics Engineering National Chiao Tung University hwang@mail.nctu.edu.tw Wei

More information

Lab 1: Introduction to Xilinx ISE Tutorial

Lab 1: Introduction to Xilinx ISE Tutorial Lab 1: Introduction to Xilinx ISE Tutorial This tutorial will introduce the reader to the Xilinx ISE software. Stepby-step instructions will be given to guide the reader through generating a project, creating

More information

Space product assurance

Space product assurance ECSS-Q-ST-60-02C Space product assurance ASIC and FPGA development ECSS Secretariat ESA-ESTEC Requirements & Standards Division Noordwijk, The Netherlands Foreword This Standard is one of the series of

More information

Hardware/Software Co-Design of a Java Virtual Machine

Hardware/Software Co-Design of a Java Virtual Machine Hardware/Software Co-Design of a Java Virtual Machine Kenneth B. Kent University of Victoria Dept. of Computer Science Victoria, British Columbia, Canada ken@csc.uvic.ca Micaela Serra University of Victoria

More information

Low Cost System on Chip Design for Audio Processing

Low Cost System on Chip Design for Audio Processing Low Cost System on Chip Design for udio Processing 1 yas Kanta Swain, 2 Kamala Kanta Mahapatra bstract System-on-Chip (SoC) design is an integration of multi million transistors in a single chip for alleviating

More information

Reconfigurable Architecture Requirements for Co-Designed Virtual Machines

Reconfigurable Architecture Requirements for Co-Designed Virtual Machines Reconfigurable Architecture Requirements for Co-Designed Virtual Machines Kenneth B. Kent University of New Brunswick Faculty of Computer Science Fredericton, New Brunswick, Canada ken@unb.ca Micaela Serra

More information

Digital to Analog Converter. Raghu Tumati

Digital to Analog Converter. Raghu Tumati Digital to Analog Converter Raghu Tumati May 11, 2006 Contents 1) Introduction............................... 3 2) DAC types................................... 4 3) DAC Presented.............................

More information

SYSTEM-ON-PROGRAMMABLE-CHIP DESIGN USING A UNIFIED DEVELOPMENT ENVIRONMENT. Nicholas Wieder

SYSTEM-ON-PROGRAMMABLE-CHIP DESIGN USING A UNIFIED DEVELOPMENT ENVIRONMENT. Nicholas Wieder SYSTEM-ON-PROGRAMMABLE-CHIP DESIGN USING A UNIFIED DEVELOPMENT ENVIRONMENT by Nicholas Wieder A thesis submitted to the faculty of The University of North Carolina at Charlotte in partial fulfillment of

More information

Technical Aspects of Creating and Assessing a Learning Environment in Digital Electronics for High School Students

Technical Aspects of Creating and Assessing a Learning Environment in Digital Electronics for High School Students Session: 2220 Technical Aspects of Creating and Assessing a Learning Environment in Digital Electronics for High School Students Adam S. El-Mansouri, Herbert L. Hess, Kevin M. Buck, Timothy Ewers Microelectronics

More information

Seeking Opportunities for Hardware Acceleration in Big Data Analytics

Seeking Opportunities for Hardware Acceleration in Big Data Analytics Seeking Opportunities for Hardware Acceleration in Big Data Analytics Paul Chow High-Performance Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Toronto Who

More information

PCB Project (*.PrjPcb)

PCB Project (*.PrjPcb) Project Essentials Summary The basis of every design captured in Altium Designer is the project. This application note outlines the different kinds of projects, techniques for working on projects and how

More information

Networking Remote-Controlled Moving Image Monitoring System

Networking Remote-Controlled Moving Image Monitoring System Networking Remote-Controlled Moving Image Monitoring System First Prize Networking Remote-Controlled Moving Image Monitoring System Institution: Participants: Instructor: National Chung Hsing University

More information

Altera Error Message Register Unloader IP Core User Guide

Altera Error Message Register Unloader IP Core User Guide 2015.06.12 Altera Error Message Register Unloader IP Core User Guide UG-01162 Subscribe The Error Message Register (EMR) Unloader IP core (altera unloader) reads and stores data from the hardened error

More information

A New Programmable RF System for System-on-Chip Applications

A New Programmable RF System for System-on-Chip Applications Vol. 6, o., April, 011 A ew Programmable RF System for System-on-Chip Applications Jee-Youl Ryu 1, Sung-Woo Kim 1, Jung-Hun Lee 1, Seung-Hun Park 1, and Deock-Ho Ha 1 1 Dept. of Information and Communications

More information

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification Advanced design simulation for fast and accurate verification The Cadence Virtuoso Analog Design Environment family of products provides a comprehensive array of capabilities for the electrical analysis

More information

Getting Started with Embedded System Development using MicroBlaze processor & Spartan-3A FPGAs. MicroBlaze

Getting Started with Embedded System Development using MicroBlaze processor & Spartan-3A FPGAs. MicroBlaze Getting Started with Embedded System Development using MicroBlaze processor & Spartan-3A FPGAs This tutorial is an introduction to Embedded System development with the MicroBlaze soft processor and low

More information

synthesizer called C Compatible Architecture Prototyper(CCAP).

synthesizer called C Compatible Architecture Prototyper(CCAP). Speed Improvement of AES Encryption using hardware accelerators synthesized by C Compatible Architecture Prototyper(CCAP) Hiroyuki KANBARA Takayuki NAKATANI Naoto UMEHARA Nagisa ISHIURA Hiroyuki TOMIYAMA

More information

VHDL Test Bench Tutorial

VHDL Test Bench Tutorial University of Pennsylvania Department of Electrical and Systems Engineering ESE171 - Digital Design Laboratory VHDL Test Bench Tutorial Purpose The goal of this tutorial is to demonstrate how to automate

More information

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Datasheet -CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Overview -CV is an equivalence checker for full custom designs. It enables efficient comparison of a reference design

More information

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Simulink targeting ASIC/FGPA. Previously Worked as logic

More information

Chapter 13: Verification

Chapter 13: Verification Chapter 13: Verification Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008-2010,

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 2922 ISSN 2229-5518

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 2922 ISSN 2229-5518 International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 2922 Design and Verification of a Software Defined radio platform using Modelsim and Altera FPGA. Barun Sharma,P.Nagaraju,Krishnamurthy

More information

ELEC 5260/6260/6266 Embedded Computing Systems

ELEC 5260/6260/6266 Embedded Computing Systems ELEC 5260/6260/6266 Embedded Computing Systems Spring 2016 Victor P. Nelson Text: Computers as Components, 3 rd Edition Prof. Marilyn Wolf (Georgia Tech) Course Topics Embedded system design & modeling

More information

BUILD VERSUS BUY. Understanding the Total Cost of Embedded Design. www.ni.com/buildvsbuy

BUILD VERSUS BUY. Understanding the Total Cost of Embedded Design. www.ni.com/buildvsbuy BUILD VERSUS BUY Understanding the Total Cost of Embedded Design Table of Contents I. Introduction II. The Build Approach: Custom Design a. Hardware Design b. Software Design c. Manufacturing d. System

More information

DIGITAL DESIGN FLOW OPTIONS

DIGITAL DESIGN FLOW OPTIONS DIGITAL DESIGN FLOW OPTIONS A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science in the Graduate School of The Ohio State University By Sagar Vidya Reddy, B.E.

More information

ATMEL FPGA 3rd User Group Workshop. 2010, 3rd June Christophe POURRIER

ATMEL FPGA 3rd User Group Workshop. 2010, 3rd June Christophe POURRIER ATMEL FPGA 3rd User Group Workshop 2010, 3rd June Christophe POURRIER Summary Sodern first experience with AT40K Megha-Tropiques Project PHARAO Project ATF280 Evaluation Tests performed on the first development

More information

ARM Microprocessor and ARM-Based Microcontrollers

ARM Microprocessor and ARM-Based Microcontrollers ARM Microprocessor and ARM-Based Microcontrollers Nguatem William 24th May 2006 A Microcontroller-Based Embedded System Roadmap 1 Introduction ARM ARM Basics 2 ARM Extensions Thumb Jazelle NEON & DSP Enhancement

More information

Basics of Simulation Technology (SPICE), Virtual Instrumentation and Implications on Circuit and System Design

Basics of Simulation Technology (SPICE), Virtual Instrumentation and Implications on Circuit and System Design Basics of Simulation Technology (SPICE), Virtual Instrumentation and Implications on Circuit and System Design Patrick Noonan Business Development Manager National Instruments Electronics Workbench Group

More information

LEVERAGING FPGA AND CPLD DIGITAL LOGIC TO IMPLEMENT ANALOG TO DIGITAL CONVERTERS

LEVERAGING FPGA AND CPLD DIGITAL LOGIC TO IMPLEMENT ANALOG TO DIGITAL CONVERTERS LEVERAGING FPGA AND CPLD DIGITAL LOGIC TO IMPLEMENT ANALOG TO DIGITAL CONVERTERS March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

The implementation and performance/cost/power analysis of the network security accelerator on SoC applications

The implementation and performance/cost/power analysis of the network security accelerator on SoC applications The implementation and performance/cost/power analysis of the network security accelerator on SoC applications Ruei-Ting Gu grating@eslab.cse.nsysu.edu.tw Kuo-Huang Chung khchung@eslab.cse.nsysu.edu.tw

More information