Technology, Devices and Circuits for Energy-Efficient Computing

Size: px
Start display at page:

Download "Technology, Devices and Circuits for Energy-Efficient Computing"

Transcription

1 Technology, Devices and Circuits for Energy-Efficient Computing Professor Per Larsson-Edefors Computer Science and Engineering Chalmers University of Technology Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 1

2 Motivation Physical implementation impacts power dissipation. Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 2

3 Field-Effect Transistor Basics The MOSFET; the work horse of all digital systems. Voltage is applied on gate. Electric field regulates material properties beneath gate. Threshold voltage (V TH ) is the gate voltage required to create a conducting channel. Channel Source: USC Body electrode Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 3

4 Switching Power Dissipation in CMOS, 1 g d s Q C CMOS inverter. Input transition 1 0 output node 0 1, requiring charge Q = C V DD from the power supply. Later, input 0 1 output node falls, draining the charge to the ground. Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 4

5 Switching Power Dissipation in CMOS, 2 P sw = f C V DD 2 After one full transition, the energy of the charge is converted into heat: P sw = E/T = (Q V)/T = (CV V) f. To reduce switching power 1. reduce supply voltage (V DD ). 2. reduce clock frequency (f). 3. reduce signal activity ( ). 4. reduce nodal capacitance (C). Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 5

6 Energy, Speed, and Power Backing off speed (using weaker circuits) saves power, but energy/operation is almost the same. Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 6

7 Scaling Supply Voltage for Reduced P sw So V DD is decreased to save switching power. Since performance deteriorates rapidly as V DD approaches V TH, V TH has to be decreased as well. Source: SSD 13 Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 7

8 Voltage Scaling Issues Source: H. Iwai, Technology Scaling and Roadmap, IEDM'08/Short Course Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 8

9 CMOS Stability Reduces with Scaling Classic advantage of CMOS: High I ON /I OFF ensures stable, digital operation. But because of scaling, subthreshold leakage ( e -V TH) increases I OFF increases. Degrading I ON /I OFF ratio limits how far V DD can be scaled. This is a critical issue in SRAMs. 0 1 Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 9

10 Subthreshold/Nearthreshold Computing Source: NTC 10 Minimal energy/operation makes near-threshold (NVT) operation interesting; however performance is very poor. Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 10

11 Delay vs VDD Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 11

12 Energy/operation vs VDD Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 12

13 MOSFET Subthreshold Swing Source: BTBTFET 10 S (= SS above) >= = 59.8 mv/decade. m = 1+ C depletion-layer /C gate-oxide Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 13

14 Subthreshold Operation S >= 60 mv/decade for MOSFETs. Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 14

15 Post-CMOS Tunnel-FETs? Source: BTBTFET 10 A lower subthreshold swing gives acceptable I ON /I OFF ratios at low supply voltages. Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 15

16 TFET vs CMOS Source: OBCD'13 TFETs (e.g. HetJFET) are promising but CMOS is not doing that bad The challenge is to make TFETs that can both have subthreshold swings << 60mV/decade high I ON currents Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 16

17 Conventional Low-Power Techniques Body biasing Multi-V TH Multi-V DD DVFS Clock gating Power gating Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 17

18 Low-Power Technique 1: Body Biasing In e -V TH, V TH depends on MOSFET terminal voltages. In an NMOSFET, V TH decreases with an increasing body voltage (V B ). 0 1 V B Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 18

19 Body Bias for Perf. and Power Binning Reverse body biasing (RBB) V B < 0 V (NMOSFET) V TH increases. leakage decreases. Forward body biasing (FBB) V B > 0 V (NMOSFET) V TH decreases. higher speed. Of limited use in advanced bulk CMOS. Incompatible with FinFET technology. Source: ABB 02 Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 19

20 Fully Depleted-Silicon on Insulator (FD-SOI) 1-V V B change 85-mV V TH change. FD-SOI for low-power rather than high-speed. Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 20

21 Bulk and SOI FinFETs Source: IBM14nm Source: Intel14nm IBM SOI-based FinFETs Intel Bulk FinFETs Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 21

22 Delay Distribution of Logic Logic paths exhibit different delays. Critical paths must satisfy clock rate constraint implementation must ensure gates are fast enough. But what about the fast paths can their intrinsic speed be converted to power reductions? Source: LPDE 09/Ch 4 Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 22

23 Low-Power Technique 2: Multi-V T Source: LPDE 09/Ch 4 Assign fast paths to slow transistors use transistors with high V TH leakage is reduced. Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 23

24 Match V DD to Performance Need Source: LPDE 09/Ch 4 First order delay 1/V DD and P V DD2 : Reduce V DD for circuits that are not timing critical. How many different V DD levels should be used? Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 24

25 Low-Power Technique 3: Multi-V DD Dual- V DD ALU example from LPDE 09/Ch 4 Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 25

26 Low-Power Technique 4: DVFS Slack can be used for power reductions: Dynamic Voltage and Frequency Scaling. Read more in CATPE 08/Ch 3 Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 26

27 Circuit Adaptation for DVFS Aggressive V DD reduction causes timing violations. Implement a feedback system that regulates speed, in the process also handling variations. Read more in CATPE 08/Ch 3.5 Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 27

28 Example on Variations Clock arrival times are hard to synchronize. Source: POWER 11 Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 28

29 Detection of Timing Failures High clock rates or extremely compute-intensive code can expose timing issues. Source: ARM 11 Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 29

30 Low-Power Technique 5: Clock Gating Source: LPDE 09/Ch 8 Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 30

31 Recap: Low-Power Techniques Body biasing Multi-V TH Multi-V DD DVFS Clock gating Power gating Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 31

32 Low-Power Technique 6: Power Gating Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 32

33 Power Gating of Execution Unit Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 33

34 Power Gating after Place&Route Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 34

35 Power Gating Impacts Area Significantly Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 35

36 Identify Multiply Activity Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 36

37 Limited Utilization Allows for Savings EEMBC Autocorrelation EEMBC FFT Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 37

38 Low-Power Options Pros and Cons Source: Cadence Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 38

39 Power Reductions in Design Flow Early design decisions yield higher power reductions than late decisions. Important for system architects to know which low-power techniques can be used. Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 39

40 Missed Opportunities? Low-power techniques clearly exist. But how do we make use of them in complex systems? Designer s competence + EDA tools. Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 40

41 Industry View on Low-Power Techniques Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 41

42 Conclusion Choice of technology, devices and circuits is vital to power and energy efficiency. Different reasons for power dissipation and, thus, different techniques to reduce power. To reduce power dissipation, you need to stay well informed: Power and energy analysis is complex since it depends on use case scenarios. Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 42

43 References, 1 ABB 02: Adaptive Body Bias for Reducing Impacts of Die-to-Die and Within-Die Parameter Variations on Microprocessor Frequency and Leakage, J. Tschanz et al., IEEE JSSC, Nov ARM 11: A Power-Efficient 32 bit ARM Processor Using Timing-Error Detection and Correction for Transient-Error Tolerance and Adaptation to PVT Variation, D. Bull et al., IEEE JSSC, BTBTFET 10: Band-to-Band Tunneling Field Effect Transistor for Low Power Logic and Memory Applications, S. A. Mookerjea, PhD Thesis, Penn State Univ, CATPE 08: Computer Architecture Techniques for Power-Efficiency, S. Kaxiras and M. Martonosi, Morgan & Claypool, Intel14nm: A 14nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a µm2 SRAM cell size, S. Natarajan et al., IEEE Int. Electron Devices Meeting (IEDM), Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 43

44 References, 2 IBM14nm: High Performance 14nm SOI FinFET CMOS Technology with μm2 embedded DRAM and 15 Levels of Cu Metallization, C.-H. Lin et al., IEDM LPDE 09: Low Power Design Essentials, J. Rabaey, Springer, NTC 10: Near-Threshold Computing: Reclaiming Moore s Law Through Energy Efficient Integrated Circuits, R. G. Dreslinski, et al., Proc. of IEEE, Feb OBCD'13: Overview of Beyond-CMOS Devices and a Uniform Methodology for Their Benchmarking, D. E. Nikonov, Proc. of IEEE, Dec POWER 11: POWER7, a Highly Parallel, Scalable Multi-Core High End Server Processor, D. F. Wendel et al., IEEE JSSC, SSD 13: Steep-Slope Devices: From Dark to Dim Silicon, K. Swaminathan et al., IEEE Micro, And many local Chalmers papers. Technology, Devices and Circuits for Energy-Efficient Computing, 2016 Page 44

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

Low Power AMD Athlon 64 and AMD Opteron Processors

Low Power AMD Athlon 64 and AMD Opteron Processors Low Power AMD Athlon 64 and AMD Opteron Processors Hot Chips 2004 Presenter: Marius Evers Block Diagram of AMD Athlon 64 and AMD Opteron Based on AMD s 8 th generation architecture AMD Athlon 64 and AMD

More information

1.1 Silicon on Insulator a brief Introduction

1.1 Silicon on Insulator a brief Introduction Table of Contents Preface Acknowledgements Chapter 1: Overview 1.1 Silicon on Insulator a brief Introduction 1.2 Circuits and SOI 1.3 Technology and SOI Chapter 2: SOI Materials 2.1 Silicon on Heteroepitaxial

More information

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Outline Here we introduced () basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Circuit Logic Gate A logic gate is an elemantary building block

More information

CMOS Thyristor Based Low Frequency Ring Oscillator

CMOS Thyristor Based Low Frequency Ring Oscillator CMOS Thyristor Based Low Frequency Ring Oscillator Submitted by: PIYUSH KESHRI BIPLAB DEKA 4 th year Undergraduate Student 4 th year Undergraduate Student Electrical Engineering Dept. Electrical Engineering

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

Low Power and Reliable SRAM Memory Cell and Array Design

Low Power and Reliable SRAM Memory Cell and Array Design Springer Series in Advanced Microelectronics 31 Low Power and Reliable SRAM Memory Cell and Array Design Bearbeitet von Koichiro Ishibashi, Kenichi Osada 1. Auflage 2011. Buch. XI, 143 S. Hardcover ISBN

More information

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures Sheng Li, Junh Ho Ahn, Richard Strong, Jay B. Brockman, Dean M Tullsen, Norman Jouppi MICRO 2009

More information

«A 32-bit DSP Ultra Low Power accelerator»

«A 32-bit DSP Ultra Low Power accelerator» «A 32-bit DSP Ultra Low Power accelerator» E. Beigné edith.beigne@cea.fr CEA LETI MINATEC, Grenoble, France www.cea.fr Low power SOC challenges : Energy Efficiency Fine-Grain AVFS solutions FDSOI technology

More information

Towards Nanowatt Computing. Dennis Sylvester University of Michigan

Towards Nanowatt Computing. Dennis Sylvester University of Michigan 1 Towards Nanowatt Computing Dennis Sylvester University of Michigan 1 1 What I Learned from Chenming 2 1 st meeting: If you want a job Biggest thing: Research is fun! Bucking the MS PhD trend in late

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

Digital Integrated Circuit (IC) Layout and Design

Digital Integrated Circuit (IC) Layout and Design Digital Integrated Circuit (IC) Layout and Design! EE 134 Winter 05 " Lecture Tu & Thurs. 9:40 11am ENGR2 142 " 2 Lab sections M 2:10pm 5pm ENGR2 128 F 11:10am 2pm ENGR2 128 " NO LAB THIS WEEK " FIRST

More information

Semiconductor Memories

Semiconductor Memories Semiconductor Memories Semiconductor memories array capable of storing large quantities of digital information are essential to all digital systems Maximum realizable data storage capacity of a single

More information

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost Comparison study of FETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost David Fried, IBM Thomas Hoffmann, IMEC Bich-Yen Nguyen, SOITEC Sri Samavedam, Freescale Horacio Mendez, SOI Industry

More information

International Journal of Electronics and Computer Science Engineering 1482

International Journal of Electronics and Computer Science Engineering 1482 International Journal of Electronics and Computer Science Engineering 1482 Available Online at www.ijecse.org ISSN- 2277-1956 Behavioral Analysis of Different ALU Architectures G.V.V.S.R.Krishna Assistant

More information

1. Memory technology & Hierarchy

1. Memory technology & Hierarchy 1. Memory technology & Hierarchy RAM types Advances in Computer Architecture Andy D. Pimentel Memory wall Memory wall = divergence between CPU and RAM speed We can increase bandwidth by introducing concurrency

More information

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell.

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell. CHAPTER 4 THE ADDER The adder is one of the most critical components of a processor, as it is used in the Arithmetic Logic Unit (ALU), in the floating-point unit and for address generation in case of cache

More information

EE-612: Nanoscale Transistors (Advanced VLSI Devices) Spring 2005

EE-612: Nanoscale Transistors (Advanced VLSI Devices) Spring 2005 EE-612: Nanoscale Transistors (Advanced VLSI Devices) Spring 2005 Mark Lundstrom Electrical and Computer Engineering Purdue University, West Lafayette, IN USA 765-494-3515 lundstro@purdue.edu 1 evolution

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Sequential 4-bit Adder Design Report

Sequential 4-bit Adder Design Report UNIVERSITY OF WATERLOO Faculty of Engineering E&CE 438: Digital Integrated Circuits Sequential 4-bit Adder Design Report Prepared by: Ian Hung (ixxxxxx), 99XXXXXX Annette Lo (axxxxxx), 99XXXXXX Pamela

More information

10 BIT s Current Mode Pipelined ADC

10 BIT s Current Mode Pipelined ADC 10 BIT s Current Mode Pipelined ADC K.BHARANI VLSI DEPARTMENT VIT UNIVERSITY VELLORE, INDIA kothareddybharani@yahoo.com P.JAYAKRISHNAN VLSI DEPARTMENT VIT UNIVERSITY VELLORE, INDIA pjayakrishnan@vit.ac.in

More information

ECE124 Digital Circuits and Systems Page 1

ECE124 Digital Circuits and Systems Page 1 ECE124 Digital Circuits and Systems Page 1 Chip level timing Have discussed some issues related to timing analysis. Talked briefly about longest combinational path for a combinational circuit. Talked briefly

More information

Design and analysis of flip flops for low power clocking system

Design and analysis of flip flops for low power clocking system Design and analysis of flip flops for low power clocking system Gabariyala sabadini.c PG Scholar, VLSI design, Department of ECE,PSNA college of Engg and Tech, Dindigul,India. Jeya priyanka.p PG Scholar,

More information

Computing for Data-Intensive Applications:

Computing for Data-Intensive Applications: Computing for Data-Intensive Applications: Beyond CMOS and Beyond Von-Neumann Said Hamdioui Computer Engineering Delft University of Technology The Netherlands Workshop on Memristive systems for Space

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem A Novel Low Power, High Speed 4 Transistor CMOS Full Adder Cell with 5% Improvement in Threshold Loss Problem T. Vigneswaran, B. Mukundhan, and P. Subbarami Reddy Abstract Full adders are important components

More information

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Basic Properties of a Digital Design These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Which of these criteria is important

More information

Digital Design for Low Power Systems

Digital Design for Low Power Systems Digital Design for Low Power Systems Shekhar Borkar Intel Corp. Outline Low Power Outlook & Challenges Circuit solutions for leakage avoidance, control, & tolerance Microarchitecture for Low Power System

More information

SRAM Scaling Limit: Its Circuit & Architecture Solutions

SRAM Scaling Limit: Its Circuit & Architecture Solutions SRAM Scaling Limit: Its Circuit & Architecture Solutions Nam Sung Kim, Ph.D. Assistant Professor Department of Electrical and Computer Engineering University of Wisconsin - Madison SRAM VCC min Challenges

More information

Highly Scalable NAND Flash Memory Cell Design Embracing Backside Charge Storage

Highly Scalable NAND Flash Memory Cell Design Embracing Backside Charge Storage JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.2.286 ISSN(Online) 2233-4866 Highly Scalable NAND Flash Memory Cell

More information

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN USING DIFFERENT FOUNDRIES Priyanka Sharma 1 and Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department

More information

5V Tolerance Techniques for CoolRunner-II Devices

5V Tolerance Techniques for CoolRunner-II Devices Application Note: Coolunner-II CPLDs XAPP429 (v1.0) August 8, 2003 5V Tolerance Techniques for Summary This document describes several different methods for interfacing 5V signals to Coolunner - II devices.

More information

數 位 積 體 電 路 Digital Integrated Circuits

數 位 積 體 電 路 Digital Integrated Circuits IEE5049 - Spring 2012 數 位 積 體 電 路 Digital Integrated Circuits Course Overview Professor Wei Hwang 黃 威 教 授 Department of Electronics Engineering National Chiao Tung University hwang@mail.nctu.edu.tw Wei

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

EDC Lesson 12: Transistor and FET Characteristics. 2008 EDCLesson12- ", Raj Kamal, 1

EDC Lesson 12: Transistor and FET Characteristics. 2008 EDCLesson12- , Raj Kamal, 1 EDC Lesson 12: Transistor and FET Characteristics Lesson-12: MOSFET (enhancement and depletion mode) Characteristics and Symbols 2008 EDCLesson12- ", Raj Kamal, 1 1. Metal Oxide Semiconductor Field Effect

More information

Chapter 10 Advanced CMOS Circuits

Chapter 10 Advanced CMOS Circuits Transmission Gates Chapter 10 Advanced CMOS Circuits NMOS Transmission Gate The active pull-up inverter circuit leads one to thinking about alternate uses of NMOS devices. Consider the circuit shown in

More information

Lecture 10: Latch and Flip-Flop Design. Outline

Lecture 10: Latch and Flip-Flop Design. Outline Lecture 1: Latch and Flip-Flop esign Slides orginally from: Vladimir Stojanovic Computer Systems Laboratory Stanford University horowitz@stanford.edu 1 Outline Recent interest in latches and flip-flops

More information

MRF175GU MRF175GV The RF MOSFET Line 200/150W, 500MHz, 28V

MRF175GU MRF175GV The RF MOSFET Line 200/150W, 500MHz, 28V Designed for broadband commercial and military applications using push pull circuits at frequencies to 500 MHz. The high power, high gain and broadband performance of these devices makes possible solid

More information

Area 3: Analog and Digital Electronics. D.A. Johns

Area 3: Analog and Digital Electronics. D.A. Johns Area 3: Analog and Digital Electronics D.A. Johns 1 1970 2012 Tech Advancements Everything but Electronics: Roughly factor of 2 improvement Cars and airplanes: 70% more fuel efficient Materials: up to

More information

CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor

CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor Study the characteristics of energy bands as a function of applied voltage in the metal oxide semiconductor structure known

More information

Introduction to CMOS VLSI Design

Introduction to CMOS VLSI Design Introduction to CMOS VLSI esign Slides adapted from: N. Weste,. Harris, CMOS VLSI esign, Addison-Wesley, 3/e, 24 Introduction Integrated Circuits: many transistors on one chip Very Large Scale Integration

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Wlodek Kurjanowicz DesignCon 2008 Sidense Corp 2008 Agenda Introduction: Why Embedded NVM? Embedded Memory Landscape Antifuse Memory evolution

More information

Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating

Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating S.Nandhini 1, T.G.Dhaarani 2, P.Kokila 3, P.Premkumar 4 Assistant Professor, Dept. of ECE, Nandha Engineering College, Erode,

More information

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1 ing Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle 6.884 - Spring 2005 2/18/05

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

Class 11: Transmission Gates, Latches

Class 11: Transmission Gates, Latches Topics: 1. Intro 2. Transmission Gate Logic Design 3. X-Gate 2-to-1 MUX 4. X-Gate XOR 5. X-Gate 8-to-1 MUX 6. X-Gate Logic Latch 7. Voltage Drop of n-ch X-Gates 8. n-ch Pass Transistors vs. CMOS X-Gates

More information

Yaffs NAND Flash Failure Mitigation

Yaffs NAND Flash Failure Mitigation Yaffs NAND Flash Failure Mitigation Charles Manning 2012-03-07 NAND flash is one of very few types of electronic device which are knowingly shipped with errors and are expected to generate further errors

More information

Low latency synchronization through speculation

Low latency synchronization through speculation Low latency synchronization through speculation D.J.Kinniment, and A.V.Yakovlev School of Electrical and Electronic and Computer Engineering, University of Newcastle, NE1 7RU, UK {David.Kinniment,Alex.Yakovlev}@ncl.ac.uk

More information

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm STMicroelectronics Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI SOI Processes 130nm, 65nm SiGe 130nm CMP Process Portfolio from ST Moore s Law 130nm CMOS : HCMOS9GP More than Moore

More information

Chapter 2 Sources of Variation

Chapter 2 Sources of Variation Chapter 2 Sources of Variation Variations in process, supply voltage and temperature (PVT) have always been an issue in Integrated Circuit (IC) Design. In digital circuits, PVT fluctuations affect the

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Class 18: Memories-DRAMs

Class 18: Memories-DRAMs Topics: 1. Introduction 2. Advantages and Disadvantages of DRAMs 3. Evolution of DRAMs 4. Evolution of DRAMs 5. Basics of DRAMs 6. Basics of DRAMs 7. Write Operation 8. SA-Normal Operation 9. SA-Read Operation

More information

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule All Programmable Logic Hans-Joachim Gelke Institute of Embedded Systems Institute of Embedded Systems 31 Assistants 10 Professors 7 Technical Employees 2 Secretaries www.ines.zhaw.ch Research: Education:

More information

Nanotechnologies for the Integrated Circuits

Nanotechnologies for the Integrated Circuits Nanotechnologies for the Integrated Circuits September 23, 2015 Dr. Bertrand Cambou Professor of Practice NAU, Cybersecurity School of Informatics, Computing, and Cyber-Systems Agenda The Market Silicon

More information

Chapter 2 Logic Gates and Introduction to Computer Architecture

Chapter 2 Logic Gates and Introduction to Computer Architecture Chapter 2 Logic Gates and Introduction to Computer Architecture 2.1 Introduction The basic components of an Integrated Circuit (IC) is logic gates which made of transistors, in digital system there are

More information

Future Paths for Components & Systems

Future Paths for Components & Systems Future Paths for Components & Systems ÉCOLE POLYTECHNIQUE FÉDÉRALE DE LAUSANNE Adrian M. Ionescu Ecole Polytechnique Fédérale de Lausanne Adrian M. Ionescu, ICT 2008, Lyon 1 Summary Introduction: invent

More information

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach)

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach) CONTENTS Preface. Energy Band Theory.. Electron in a crystal... Two examples of electron behavior... Free electron...2. The particle-in-a-box approach..2. Energy bands of a crystal (intuitive approach)..3.

More information

SLC vs. MLC: An Analysis of Flash Memory

SLC vs. MLC: An Analysis of Flash Memory SLC vs. MLC: An Analysis of Flash Memory Examining the Quality of Memory: Understanding the Differences between Flash Grades Table of Contents Abstract... 3 Introduction... 4 Flash Memory Explained...

More information

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 13.7 A 40Gb/s Clock and Data Recovery Circuit in 0.18µm CMOS Technology Jri Lee, Behzad Razavi University of California, Los Angeles, CA

More information

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-4, Issue-1 E-ISSN: 2347-2693 A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology Zahra

More information

True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique

True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique Priyanka Sharma ME (ECE) Student NITTTR Chandigarh Rajesh Mehra Associate Professor Department of ECE NITTTR Chandigarh

More information

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat. Introduction to VLSI Programming TU/e course 2IN30 Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.Lab] Introduction to VLSI Programming Goals Create silicon (CMOS) awareness

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

路 論 Chapter 15 System-Level Physical Design

路 論 Chapter 15 System-Level Physical Design Introduction to VLSI Circuits and Systems 路 論 Chapter 15 System-Level Physical Design Dept. of Electronic Engineering National Chin-Yi University of Technology Fall 2007 Outline Clocked Flip-flops CMOS

More information

Field-Effect (FET) transistors

Field-Effect (FET) transistors Field-Effect (FET) transistors References: Hayes & Horowitz (pp 142-162 and 244-266), Rizzoni (chapters 8 & 9) In a field-effect transistor (FET), the width of a conducting channel in a semiconductor and,

More information

Op-Amp Simulation EE/CS 5720/6720. Read Chapter 5 in Johns & Martin before you begin this assignment.

Op-Amp Simulation EE/CS 5720/6720. Read Chapter 5 in Johns & Martin before you begin this assignment. Op-Amp Simulation EE/CS 5720/6720 Read Chapter 5 in Johns & Martin before you begin this assignment. This assignment will take you through the simulation and basic characterization of a simple operational

More information

Leakage Power Reduction Using Sleepy Stack Power Gating Technique

Leakage Power Reduction Using Sleepy Stack Power Gating Technique Leakage Power Reduction Using Sleepy Stack Power Gating Technique M.Lavanya, P.Anitha M.E Student [Applied Electronics], Dept. of ECE, Kingston Engineering College, Vellore, Tamil Nadu, India Assistant

More information

CHAPTER 16 MEMORY CIRCUITS

CHAPTER 16 MEMORY CIRCUITS CHPTER 6 MEMORY CIRCUITS Chapter Outline 6. atches and Flip-Flops 6. Semiconductor Memories: Types and rchitectures 6.3 Random-ccess Memory RM Cells 6.4 Sense-mplifier and ddress Decoders 6.5 Read-Only

More information

An Introduction to the EKV Model and a Comparison of EKV to BSIM

An Introduction to the EKV Model and a Comparison of EKV to BSIM An Introduction to the EKV Model and a Comparison of EKV to BSIM Stephen C. Terry 2. 3.2005 Integrated Circuits & Systems Laboratory 1 Overview Characterizing MOSFET operating regions EKV model fundamentals

More information

Chapter 2 Application Requirements

Chapter 2 Application Requirements Chapter 2 Application Requirements The material presented in this script covers low voltage applications extending from battery operated portable electronics, through POL-converters (Point of Load), internet

More information

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches).

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Pass Gate Logic n alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Switch Network Regeneration is performed via a buffer. We have already

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

RAM & ROM Based Digital Design. ECE 152A Winter 2012

RAM & ROM Based Digital Design. ECE 152A Winter 2012 RAM & ROM Based Digital Design ECE 152A Winter 212 Reading Assignment Brown and Vranesic 1 Digital System Design 1.1 Building Block Circuits 1.1.3 Static Random Access Memory (SRAM) 1.1.4 SRAM Blocks in

More information

How To Scale At 14 Nanomnemester

How To Scale At 14 Nanomnemester 14 nm Process Technology: Opening New Horizons Mark Bohr Intel Senior Fellow Logic Technology Development SPCS010 Agenda Introduction 2 nd Generation Tri-gate Transistor Logic Area Scaling Cost per Transistor

More information

IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits

IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits SUMMARY CONTENTS 1. CONTEXT 2. TECHNOLOGY TRENDS 3. MOTIVATION 4. WHAT IS IC-EMC 5. SUPPORTED STANDARD 6. EXAMPLES CONTEXT - WHY

More information

Riding silicon trends into our future

Riding silicon trends into our future Riding silicon trends into our future VLSI Design and Embedded Systems Conference, Bangalore, Jan 05 2015 Sunit Rikhi Vice President, Technology & Manufacturing Group General Manager, Intel Custom Foundry

More information

New materials on horizon for advanced logic technology in mobile era

New materials on horizon for advanced logic technology in mobile era New materials on horizon for advanced logic technology in mobile era source gate Kelin J. Kuhn, TED 2012 drain Franz Kreupl, IFX 2003 Hsinchu March 6, 2013 - Prof. Dr. Franz Kreupl 1 Outline Introduction

More information

Chapter 9 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 9 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 9 Semiconductor Memories Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 2 Outline Introduction

More information

W a d i a D i g i t a l

W a d i a D i g i t a l Wadia Decoding Computer Overview A Definition What is a Decoding Computer? The Wadia Decoding Computer is a small form factor digital-to-analog converter with digital pre-amplifier capabilities. It is

More information

Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells

Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells Sushil B. Bhaisare 1, Sonalee P. Suryawanshi 2, Sagar P. Soitkar 3 1 Lecturer in Electronics Department, Nagpur University, G.H.R.I.E.T.W. Nagpur,

More information

SLC vs MLC: Which is best for high-reliability apps?

SLC vs MLC: Which is best for high-reliability apps? SLC vs MLC: Which is best for high-reliability apps? Here's an examination of trade-offs, with an emphasis on how they affect the reliability of storage targeted at industrial, military and avionic applications.

More information

ECE 410: VLSI Design Course Introduction

ECE 410: VLSI Design Course Introduction ECE 410: VLSI Design Course Introduction Professor Andrew Mason Michigan State University Spring 2008 ECE 410, Prof. A. Mason Lecture Notes Page i.1 Age of electronics microcontrollers, DSPs, and other

More information

Optimization and Comparison of 4-Stage Inverter, 2-i/p NAND Gate, 2-i/p NOR Gate Driving Standard Load By Using Logical Effort

Optimization and Comparison of 4-Stage Inverter, 2-i/p NAND Gate, 2-i/p NOR Gate Driving Standard Load By Using Logical Effort Optimization and Comparison of -Stage, -i/p NND Gate, -i/p NOR Gate Driving Standard Load By Using Logical Effort Satyajit nand *, and P.K.Ghosh ** * Mody Institute of Technology & Science/ECE, Lakshmangarh,

More information

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016 Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms Christophe Maleville Substrate readiness 3 lenses view SOI Consortium C1 - Restricted Conference Tokyo 2016

More information

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng Architectural Level Power Consumption of Network Presenter: YUAN Zheng Why Architectural Low Power Design? High-speed and large volume communication among different parts on a chip Problem: Power consumption

More information

Application Note AN-940

Application Note AN-940 Application Note AN-940 How P-Channel MOSFETs Can Simplify Your Circuit Table of Contents Page 1. Basic Characteristics of P-Channel HEXFET Power MOSFETs...1 2. Grounded Loads...1 3. Totem Pole Switching

More information

SLC vs MLC: Proper Flash Selection for SSDs in Industrial, Military and Avionic Applications. A TCS Space & Component Technology White Paper

SLC vs MLC: Proper Flash Selection for SSDs in Industrial, Military and Avionic Applications. A TCS Space & Component Technology White Paper SLC vs MLC: Proper Flash Selection for SSDs in Industrial, Military and Avionic Applications A TCS Space & Component Technology White Paper Introduction As with most storage technologies, NAND Flash vendors

More information

Photonic Networks for Data Centres and High Performance Computing

Photonic Networks for Data Centres and High Performance Computing Photonic Networks for Data Centres and High Performance Computing Philip Watts Department of Electronic Engineering, UCL Yury Audzevich, Nick Barrow-Williams, Robert Mullins, Simon Moore, Andrew Moore

More information

Chapter 4. LLC Resonant Converter

Chapter 4. LLC Resonant Converter Chapter 4 LLC Resonant Converter 4.1 Introduction In previous chapters, the trends and technical challenges for front end DC/DC converter were discussed. High power density, high efficiency and high power

More information

Home and Digital. Jean-Marc Chery Chief Operating Officer

Home and Digital. Jean-Marc Chery Chief Operating Officer Home and Digital Jean-Marc Chery Chief Operating Officer Driving Success 2 Digital Convergence Group turnaround in two waves to double revenues by Q4 2015 from Q4 2013 FD-SOI becoming an industry standard,

More information

Using NTC Temperature Sensors Integrated into Power Modules

Using NTC Temperature Sensors Integrated into Power Modules Using NTC Temperature Sensors Integrated into Power Modules Pierre-Laurent Doumergue R&D Engineer Advanced Power Technology Europe Chemin de Magret 33700 Mérignac, France Introduction Most APTE (Advanced

More information

Application Note AN-1135

Application Note AN-1135 Application Note AN-1135 PCB Layout with IR Class D Audio Gate Drivers By Jun Honda, Connie Huang Table of Contents Page Application Note AN-1135... 1 0. Introduction... 2 0-1. PCB and Class D Audio Performance...

More information

Introducción. Diseño de sistemas digitales.1

Introducción. Diseño de sistemas digitales.1 Introducción Adapted from: Mary Jane Irwin ( www.cse.psu.edu/~mji ) www.cse.psu.edu/~cg431 [Original from Computer Organization and Design, Patterson & Hennessy, 2005, UCB] Diseño de sistemas digitales.1

More information

DirectFET TM - A Proprietary New Source Mounted Power Package for Board Mounted Power

DirectFET TM - A Proprietary New Source Mounted Power Package for Board Mounted Power TM - A Proprietary New Source Mounted Power Package for Board Mounted Power by Andrew Sawle, Martin Standing, Tim Sammon & Arthur Woodworth nternational Rectifier, Oxted, Surrey. England Abstract This

More information

High Performance ZVS Buck Regulator Removes Barriers To Increased Power Throughput In Wide Input Range Point-Of-Load Applications

High Performance ZVS Buck Regulator Removes Barriers To Increased Power Throughput In Wide Input Range Point-Of-Load Applications White paper High Performance ZVS Buck Regulator Removes Barriers To Increased Power Throughput In Wide Input Range Point-Of-Load Applications Written by: C. R. Swartz Principal Engineer, Picor Semiconductor

More information

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 ELECTRONICS AND COMMUNICATION ENGINEERING Course Title VLSI DESIGN Course Code 57035 Regulation R09 COURSE DESCRIPTION Course Structure

More information