«A 32-bit DSP Ultra Low Power accelerator»

Size: px
Start display at page:

Download "«A 32-bit DSP Ultra Low Power accelerator»"

Transcription

1 «A 32-bit DSP Ultra Low Power accelerator» E. Beigné CEA LETI MINATEC, Grenoble, France

2 Low power SOC challenges : Energy Efficiency Fine-Grain AVFS solutions FDSOI technology brings a new actuator Fine-Grain AVFS with Body Biasing Silicon results in FDSOI 28nm Future IoT needs and Conclusions CEA. All rights reserved Diego Puschini ICDV

3 Cliquez pour Low modifier Power le SoC style challenges du titre Application Applicative flexibility Unpredictable load Multi-Core Architectures Technology Process variability Aging Architecture Massively parallel architectures CEA. All rights reserved 2015 COOL Chips E. Beigné 3

4 Cliquez pour modifier Energy le style Efficiency du titre Power consumption management follows two objectives today : Reduce the overall power or Increase the global energetic efficiency Performance does no more only mean High frequency but also Low power High Performance/ Low Power trade-off difficult to reach Mimimum power consumed at a given frequency CEA. All rights reserved 2015 COOL Chips E. Beigné 4

5 Low power SOC challenges : Energy Efficiency Fine-Grain AVFS solutions FDSOI technology brings a new actuator Fine-Grain AVFS with Body Biasing Silicon results in FDSOI 28nm Future IoT needs and Conclusions CEA. All rights reserved Diego Puschini ICDV

6 Dynamic Voltage Frequency Scaling Cliquez pour modifier le style du titre Power Domain DVFS for power-performance trade-off V regulator Ctrl. F generator Core 1! " Frequency Power V dd F are adjusted to ensure performance at minimum consumption Voltage Frequency CEA. All rights reserved 2015 COOL Chips E. Beigné 6

7 Adaptive Voltage Frequency Scaling Cliquez pour modifier le style du titre V regulator Power Domain AVFS approach Fmax PVT monitors Data fusion adjustment Ctrl. F generator Core Adjustment Data fusion 1! " Frequency Voltage F is adjusted to reach F max for the given conditions (V dd, T ) CEA. All rights reserved 2015 COOL Chips E. Beigné 7

8 Low power SOC challenges : Energy Efficiency Fine-Grain AVFS solutions FDSOI technology brings a new actuator Fine-Grain AVFS with Body Biasing Silicon results in FDSOI 28nm Future IoT needs and Conclusions CEA. All rights reserved Diego Puschini ICDV

9 Body Biasing and Multi-V T in UTBB FD-SOI Cliquez pour modifier le style du titre RVT Bulk-like Well VB NMOS NMOS PMOS VB PMOS -300mV VBPMOS 3V p-well n-well -3V VBNMOS 300mV LVT Flip-Well GND VB PMOS NMOS PMOS VB NMOS -3V VBPMOS 300mV n-well p-well -300mV VBNMOS 3V [Flatresse et al. ISSCC 2013] GND CEA. All rights reserved 2015 COOL Chips E. Beigné 9

10 Low power SOC challenges : Energy Efficiency Fine-Grain AVFS solutions FDSOI technology brings a new actuator Fine-Grain AVFS with Body Biasing Silicon results in FDSOI 28nm Future IoT needs and Conclusions CEA. All rights reserved Diego Puschini ICDV

11 Cliquez AVFS pour with modifier Adaptive le Body style du Biasing titre V regulator Power Domain ABB in FD-SOI Ultra Wide Voltage Range (UWVR) Body Bias increases efficiency Ctrl. F generator Core BB generator Adjustment Data fusion UWVR 1! " Frequency Eff V V dd V bb should be chosen to minimize consumption Voltage Source: CEA. All rights reserved 2015 COOL Chips E. Beigné 11

12 Cliquez pour Fine modifier grain le AVFS style with du titre ABB V regulator Ctrl. F generator BB generator Adjustment Power Power Domain Domain Power Domain Core Data fusion Power Domain Power Domain Power Domain Power Domain Granularity in complex SoC Fine grain requires per core actuators Low area/complexity enable fine grain AVFS with ABB Concession on performance Speed Discretization Switching cost Efficiency Frequency Voltage Example of V DD discretization Actuators constraints to be considered for management policy CEA. All rights reserved 2015 COOL Chips E. Beigné 12

13 Summarizing AVFS with ABB in FD-SOI Cliquez pour modifier le style du titre V DD generator low area for fine grain F max monitor for adaptive approach Power Domain V regulator Ctrl. F generator BB generator Core T monitor for adaptive approach Adjustment Data fusion F generator low area for fine grain V BB generator to improve efficiency CEA. All rights reserved 2015 COOL Chips E. Beigné 13

14 Something new for AVFS power management Cliquez pour modifier le style du titre Dynamic power management today FD-SOI context (UWVR, increased efficiency) New opportunity: extended Body Bias range Source: Power Domain V regulator 3 on-chip constrained actuators Ctrl. F generator Core Known target performance (F target ) BB generator n Power Modes (PM) available Adjustment Data fusion F V dd V bb P F Which configuration to minimize power? taking into account actuators constraints CEA. All rights reserved 2015 COOL Chips E. Beigné 14

15 Low power SOC challenges : Energy Efficiency Fine-Grain AVFS solutions FDSOI technology brings a new actuator Fine-Grain AVFS with Body Biasing Silicon results in FDSOI 28nm Future IoT needs and Conclusions CEA. All rights reserved Diego Puschini ICDV

16 Address Gen. Cliquez pour modifier DSP le style architecture du titre 32bit VLIW DSP core - V/F domain Control Address Gen. Shift Reg. Mux Prog SRAM Data SRAM Register Array 2Read-1Write Ports 64x32b 32b Multiplier Bit Extension Mux Adder 40b MAC Bit Trunc Cordics + Divider Comp/Select CODA TMFLT-R TMFLT-S TMFLT-S TMFLT-S TMFLT-S TMFLT-S TMFLT-S Serial Interface Input/Output RAM 2x1Kx32 PLL Variability Power Control (CVP) CEA. All rights reserved 2015 COOL Chips E. Beigné 16

17 Cliquez pour modifier Chip le style Micrograph du titre Technology STMicro Transistors Core area DSP benchmark VDD range VBB range UTBB FDSOI 28 nm Flip-Well (LVT) L=24nm 1 mm² FFT V-1.3V 0V/±2V Wilson, R et al., "A 460MHz at 397mV, 2.6GHz at 1.3V, 32b VLIW DSP, embedding FMAX tracking," International Solid-State Circuits Conference (ISSCC), pp , February 2014 E. Beigné, et al., JSSC 2015 CEA. All rights reserved 2015 COOL Chips E. Beigné 17

18 Cliquez Power pour distribution modifier le Body style du Biasing titre Including all biasing voltages Thin VBB power stripes Body-Biasing Supplied through specific IOs (± 2V) CEA. All rights reserved 2015 COOL Chips E. Beigné 18

19 Cliquez pour Optimized modifier le library style du sub-set titre Use of available standard cells optimized for low voltage: Extend the subset to the Wide Voltage Range Gate length modulation done through Poly Biasing Keep the power-delay optimal cells in UWVR Cells are characterized over [0.275V-1.4V] V DD range and [0V- (±2V)] V BB range CEA. All rights reserved 2015 COOL Chips E. Beigné 19

20 Cliquez pour Optimized modifier le Pulsed-latch style du titre FF Transmission-Gate Pulsed Latches A latch and a pulse generator Same behavior as a conventional Master-Slave FF Proved to be the most energy-efficient in a large portion of the design spacee D-to-Q (ps) Egy/cycle (fj) Area (µm²) ST C2MOS ,41 ST SA 46.5 (- 60 %) 12.5 (+ 208 %) 6,85 (+ 55 %) TGPLMuxScan 30.5 (- 74 %) 7.2 (+ 20 %) 4,73 (+ 7 %) TGPLMuxClk 26 (- 78 %) 9.1 (+ 56 %) 5,06 (+ 14 %) CEA. All rights reserved 2015 COOL Chips E. Beigné 20

21 Timing margins reduction in UWVR : F MAX tracking Cliquez pour modifier le style du titre Design in typical corner case instead of worst case approach Need to compensate for PVT variations in UWVR Reduce energy per operation or increase clock frequency Track the optimal Frequency/Voltage/Biasing point Real maximum frequency is not available Functional in the UWVR / Low area and power overhead Two complementary solutions proposed on-chip Replica path based CODA (ClOning DAta paths) Timing slack sensor based TMFLT (TiMing FauLT) CEA. All rights reserved 2015 COOL Chips E. Beigné 21

22 Fmax tracking (solution 1) : Path Cloning Cliquez pour modifier le style du titre 16 paths cloned at 1V signoff Correlation between F MAX and frequency predictions 5 slots into 21 1V 25 C (+4/-3% accuracy) 1 slot into 21 [0.8V-1.3V] 25 C (6% accuracy) Not intrusive / Need complementary solution in UWVR CEA. All rights reserved 2015 COOL Chips E. Beigné 22

23 Fmax tracking (solution 2) : Slack Monitoring Cliquez pour modifier le style du titre 128 TMFLT-Sensors 1 TMFLT-Ring Analyze the registers slack time 300ps detection window Programmable replica path Time-to-digital measurement RAM FF FF Reset_n TMFLT Sensor FF Detectio n window Warning EN CLK E CG SEL 31 Prog. delay reg1 reg2 Clock tree SIG slack time CEA. All rights reserved 2015 COOL Chips E. Beigné 23

24 frequency error (%) Fmax tracking (solution 2) : Slack Monitoring Cliquez pour modifier le style du titre Frequency estimation error compared to F MAX Error of ±4% at 1V 21 dies Power Supply (mv) Compared to worst case PVT corner (3σ) approach without F MAX tracking: Energy gain of 0.6V Frequency gain of CEA. All rights reserved 2015 COOL Chips E. Beigné 24

25 Low power SOC challenges : Energy Efficiency Fine-Grain AVFS solutions FDSOI technology brings a new actuator Fine-Grain AVFS with Body Biasing Silicon results in FDSOI 28nm Future IoT needs and Conclusions CEA. All rights reserved Diego Puschini ICDV

26 DSP speed performance measurements Cliquez pour modifier le style du titre Frequency (MHz) Boost 0mV FBB 0V FBB 0.5V FBB 1.0V FBB 1.5V FBB 2.0V Boost 500mV Boost 1000mV Boost 1500mV Boost 2000mV MHz@397mV VDD voltage (mv) FBB up to +2V and F MAX tracking : frequency up to 460MHz at minimum voltage CEA. All rights reserved 2015 COOL Chips E. Beigné 26

27 Energy/cycle (pj/cycle) DSP energy performance measurements Cliquez pour modifier le style du titre FBB 0V FBB 0.5V FBB 1.0V FBB 1.5V FBB 2.0V Boost 0mV Boost 500mV Boost 1000mV Boost 1500mV Boost 2000mV 460MHz For a fixed voltage supply : Lowest energy at 460MHz Power consumption : 370mW at 1V Frequency (MHz) CEA. All rights reserved 2015 COOL Chips E. Beigné 27

28 Energy/cycle (pj/cycle) DSP energy performance measurements Cliquez pour modifier le style du titre Boost FBB 0V 0mV FBB 0.5V FBB 1.0V FBB 1.5V FBB 2.0V Boost 500mV Boost 1000mV Boost 1500mV Boost 2000mV +59% frequency +14% frequency -20% energy/cycle FBB and F MAX tracking : Frequency up to 59% (target 100pJ/cycle) Energy by 20% (target 1.7 GHz) Frequency (MHz) CEA. All rights reserved 2015 COOL Chips E. Beigné 28

29 Frequency (MHz) Comparison with State of the Art WVR Cliquez pour modifier le style du titre This work INTEL, 22nm, ISCC 2012 INTEL, 32nm, ISCC 2012 MIT, TI, 28nm, ISSCC 2011 MIT, TI, 28nm, ISSCC 2011 NXP, IMEC, 32nm, ISSCC 2011 INTEL, 32nm, ISSCC MIT, TI, 28nm, ISCC CSEM (100µW/MHz) 22nm, INTEL, ISSCC TI, CEVA, 40nm TI, 40nm TI (200µW/MHz) ,2 0,4 0,6 0,8 1 1,2 1, Supply Voltage (V) CEA. All rights reserved 2015 COOL Chips E. Beigné 29

30 Low power SOC challenges : Energy Efficiency Fine-Grain AVFS solutions FDSOI technology brings a new actuator Fine-Grain AVFS with Body Biasing Silicon results in FDSOI 28nm Future IoT needs and Conclusions CEA. All rights reserved Diego Puschini ICDV

31 What about FDSOI for IoT applications? Cliquez pour modifier le style du titre Energy harvesting will bring more challenges to Voltage supplies levels Autonomous Wireless Sensor Nodes are requiring even more Versatility Moving the operating range from very low power to medium speed Leakage is a big constraint Possible use of Reverse Body Biasing and Poly Biasing Analog and RF is performant Towards fully integrated mixed-signal nodes CEA. All rights reserved 2015 COOL Chips E. Beigné 31

32 Cliquez pour modifier le style Conclusion du titre Circuit designers are faced with conflicting requirements Decrease the power dissipation AND Maintain the performance In a world of increasing parametric variations This can be met thanks to a combination of design techniques Reduce design margins and adapt to environment SenseReact paradigm Leverage the technology s possibilities Well engineering and back-biasing in FDSOI Take home message Develop technology that brings additional actuators to circuit designers This will unleash their creativity Think about low leakage applications like IoT Low voltage performances Performance adaptation CEA. All rights reserved 2015 COOL Chips E. Beigné 32

UTBB-FDSOI 28nm : RF Ultra Low Power technology for IoT

UTBB-FDSOI 28nm : RF Ultra Low Power technology for IoT UTBB-FDSOI 28nm : RF Ultra Low Power technology for IoT International Forum on FDSOI IC Design B. Martineau www.cea.fr Cliquez pour modifier le style du Outline titre Introduction UTBB-FDSOI 28nm for RF

More information

Coherent sub-thz transmission systems in Silicon technologies: design challenges for frequency synthesis

Coherent sub-thz transmission systems in Silicon technologies: design challenges for frequency synthesis Coherent sub-thz transmission systems in Silicon technologies: design challenges for frequency synthesis Alexandre Siligaris www.cea.fr Cliquez pour modifier le style du Outline titre Introduction-context

More information

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1 ing Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle 6.884 - Spring 2005 2/18/05

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

Intel Labs at ISSCC 2012. Copyright Intel Corporation 2012

Intel Labs at ISSCC 2012. Copyright Intel Corporation 2012 Intel Labs at ISSCC 2012 Copyright Intel Corporation 2012 Intel Labs ISSCC 2012 Highlights 1. Efficient Computing Research: Making the most of every milliwatt to make computing greener and more scalable

More information

Sequential 4-bit Adder Design Report

Sequential 4-bit Adder Design Report UNIVERSITY OF WATERLOO Faculty of Engineering E&CE 438: Digital Integrated Circuits Sequential 4-bit Adder Design Report Prepared by: Ian Hung (ixxxxxx), 99XXXXXX Annette Lo (axxxxxx), 99XXXXXX Pamela

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

Low Power AMD Athlon 64 and AMD Opteron Processors

Low Power AMD Athlon 64 and AMD Opteron Processors Low Power AMD Athlon 64 and AMD Opteron Processors Hot Chips 2004 Presenter: Marius Evers Block Diagram of AMD Athlon 64 and AMD Opteron Based on AMD s 8 th generation architecture AMD Athlon 64 and AMD

More information

Control in context: definitions. Cliquez pour modifier le style du titre. Control activities for SOC (LIALP)

Control in context: definitions. Cliquez pour modifier le style du titre. Control activities for SOC (LIALP) Control activities for OC (LIALP). Lesecq D. Puschini, L. incent, Y. Akgul En partenariat avec E. Beigné (LIAN) Ph aurine (LIR) www.cea.fr Control in context: definitions ystem Σ Inside / Outside ( boundary

More information

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm STMicroelectronics Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI SOI Processes 130nm, 65nm SiGe 130nm CMP Process Portfolio from ST Moore s Law 130nm CMOS : HCMOS9GP More than Moore

More information

SRAM Scaling Limit: Its Circuit & Architecture Solutions

SRAM Scaling Limit: Its Circuit & Architecture Solutions SRAM Scaling Limit: Its Circuit & Architecture Solutions Nam Sung Kim, Ph.D. Assistant Professor Department of Electrical and Computer Engineering University of Wisconsin - Madison SRAM VCC min Challenges

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

Digital Design for Low Power Systems

Digital Design for Low Power Systems Digital Design for Low Power Systems Shekhar Borkar Intel Corp. Outline Low Power Outlook & Challenges Circuit solutions for leakage avoidance, control, & tolerance Microarchitecture for Low Power System

More information

Mono-Processor, DSP, smart sensor nodes Many-Processor System-on-Chip (MPSoC) Dedicated computing platforms, e.g. H.264 hardware encoder

Mono-Processor, DSP, smart sensor nodes Many-Processor System-on-Chip (MPSoC) Dedicated computing platforms, e.g. H.264 hardware encoder Application of control theory to ManyProcessor System-on-Chip (MPSoC) (computing platforms) Grenoble Workshop on Autonomic Computing and Control May 27, 2013 www.cea.fr Suzanne LESECQ, CEA, LETI, DACLE/LIALP

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits

IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits SUMMARY CONTENTS 1. CONTEXT 2. TECHNOLOGY TRENDS 3. MOTIVATION 4. WHAT IS IC-EMC 5. SUPPORTED STANDARD 6. EXAMPLES CONTEXT - WHY

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating

Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating S.Nandhini 1, T.G.Dhaarani 2, P.Kokila 3, P.Premkumar 4 Assistant Professor, Dept. of ECE, Nandha Engineering College, Erode,

More information

Digital Integrated Circuit (IC) Layout and Design

Digital Integrated Circuit (IC) Layout and Design Digital Integrated Circuit (IC) Layout and Design! EE 134 Winter 05 " Lecture Tu & Thurs. 9:40 11am ENGR2 142 " 2 Lab sections M 2:10pm 5pm ENGR2 128 F 11:10am 2pm ENGR2 128 " NO LAB THIS WEEK " FIRST

More information

Lecture 10: Latch and Flip-Flop Design. Outline

Lecture 10: Latch and Flip-Flop Design. Outline Lecture 1: Latch and Flip-Flop esign Slides orginally from: Vladimir Stojanovic Computer Systems Laboratory Stanford University horowitz@stanford.edu 1 Outline Recent interest in latches and flip-flops

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

VITESSE SEMICONDUCTOR CORPORATION. 16:1 Multiplexer. Timing Generator. CMU x16

VITESSE SEMICONDUCTOR CORPORATION. 16:1 Multiplexer. Timing Generator. CMU x16 Features 16:1 2.488 Gb/s Multiplexer Integrated PLL for Clock Generation - No External Components 16-bit Wide, Single-ended, ECL 100K Compatible Parallel Data Interface 155.52 MHz Reference Clock Frequency

More information

Fully Differential CMOS Amplifier

Fully Differential CMOS Amplifier ECE 511 Analog Electronics Term Project Fully Differential CMOS Amplifier Saket Vora 6 December 2006 Dr. Kevin Gard NC State University 1 Introduction In this project, a fully differential CMOS operational

More information

System Considerations

System Considerations System Considerations Interfacing Performance Power Size Ease-of Use Programming Interfacing Debugging Cost Device cost System cost Development cost Time to market Integration Peripherals Different Needs?

More information

Thermal Modeling Methodology for Fast and Accurate System-Level Analysis: Application to a Memory-on-Logic 3D Circuit

Thermal Modeling Methodology for Fast and Accurate System-Level Analysis: Application to a Memory-on-Logic 3D Circuit Thermal Modeling Methodology for Fast and Accurate System-Level Analysis: Application to a Memory-on-Logic 3D Circuit Cristiano Santos 1,2, Pascal Vivet 1, Philippe Garrault 3, Nicolas Peltier 3, Sylvian

More information

數 位 積 體 電 路 Digital Integrated Circuits

數 位 積 體 電 路 Digital Integrated Circuits IEE5049 - Spring 2012 數 位 積 體 電 路 Digital Integrated Circuits Course Overview Professor Wei Hwang 黃 威 教 授 Department of Electronics Engineering National Chiao Tung University hwang@mail.nctu.edu.tw Wei

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Outline Here we introduced () basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Circuit Logic Gate A logic gate is an elemantary building block

More information

LOW POWER DESIGN OF DIGITAL SYSTEMS USING ENERGY RECOVERY CLOCKING AND CLOCK GATING

LOW POWER DESIGN OF DIGITAL SYSTEMS USING ENERGY RECOVERY CLOCKING AND CLOCK GATING LOW POWER DESIGN OF DIGITAL SYSTEMS USING ENERGY RECOVERY CLOCKING AND CLOCK GATING A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of the requirements for

More information

LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP

LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP Anurag #1, Gurmohan Singh #2, V. Sulochana #3 # Centre for Development of Advanced Computing, Mohali, India 1 anuragece09@gmail.com 2 gurmohan@cdac.in

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

A 10,000 Frames/s 0.18 µm CMOS Digital Pixel Sensor with Pixel-Level Memory

A 10,000 Frames/s 0.18 µm CMOS Digital Pixel Sensor with Pixel-Level Memory Presented at the 2001 International Solid State Circuits Conference February 5, 2001 A 10,000 Frames/s 0.1 µm CMOS Digital Pixel Sensor with Pixel-Level Memory Stuart Kleinfelder, SukHwan Lim, Xinqiao

More information

A New Programmable RF System for System-on-Chip Applications

A New Programmable RF System for System-on-Chip Applications Vol. 6, o., April, 011 A ew Programmable RF System for System-on-Chip Applications Jee-Youl Ryu 1, Sung-Woo Kim 1, Jung-Hun Lee 1, Seung-Hun Park 1, and Deock-Ho Ha 1 1 Dept. of Information and Communications

More information

Reconfigurable System-on-Chip Design

Reconfigurable System-on-Chip Design Reconfigurable System-on-Chip Design MITCHELL MYJAK Senior Research Engineer Pacific Northwest National Laboratory PNNL-SA-93202 31 January 2013 1 About Me Biography BSEE, University of Portland, 2002

More information

High-Speed Electronics

High-Speed Electronics High-Speed Electronics Mentor User Conference 2005 - München Dr. Alex Huber, hubera@zma.ch Zentrum für Mikroelektronik Aargau, 5210 Windisch, Switzerland www.zma.ch Page 1 Outline 1. Motivation 2. Speed

More information

A 1.62/2.7/5.4 Gbps Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO

A 1.62/2.7/5.4 Gbps Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.3, JUNE, 2013 http://dx.doi.org/10.5573/jsts.2013.13.3.185 A 1.62/2.7/5.4 Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO

More information

A N. O N Output/Input-output connection

A N. O N Output/Input-output connection Memory Types Two basic types: ROM: Read-only memory RAM: Read-Write memory Four commonly used memories: ROM Flash, EEPROM Static RAM (SRAM) Dynamic RAM (DRAM), SDRAM, RAMBUS, DDR RAM Generic pin configuration:

More information

NVM memory: A Critical Design Consideration for IoT Applications

NVM memory: A Critical Design Consideration for IoT Applications NVM memory: A Critical Design Consideration for IoT Applications Jim Lipman Sidense Corp. Introduction The Internet of Things (IoT), sometimes called the Internet of Everything (IoE), refers to an evolving

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs

Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs Ikchan Jang 1, Soyeon Joo 1, SoYoung Kim 1, Jintae Kim 2, 1 College of Information and Communication Engineering, Sungkyunkwan University,

More information

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches).

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Pass Gate Logic n alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Switch Network Regeneration is performed via a buffer. We have already

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

Lab Experiment 1: The LPC 2148 Education Board

Lab Experiment 1: The LPC 2148 Education Board Lab Experiment 1: The LPC 2148 Education Board 1 Introduction The aim of this course ECE 425L is to help you understand and utilize the functionalities of ARM7TDMI LPC2148 microcontroller. To do that,

More information

CS250 VLSI Systems Design Lecture 8: Memory

CS250 VLSI Systems Design Lecture 8: Memory CS250 VLSI Systems esign Lecture 8: Memory John Wawrzynek, Krste Asanovic, with John Lazzaro and Yunsup Lee (TA) UC Berkeley Fall 2010 CMOS Bistable 1 0 Flip State 0 1 Cross-coupled inverters used to hold

More information

On-Line Diagnosis using Orthogonal Multi-Tone Time Domain Reflectometry in a Lossy Cable

On-Line Diagnosis using Orthogonal Multi-Tone Time Domain Reflectometry in a Lossy Cable On-Line Diagnosis using Orthogonal Multi-Tone Time Domain Reflectometry in a Lossy Cable Wafa BEN HASSEN*, Fabrice AUZANNEAU*, Luca INCARBONE*, François PERES** and Ayeley P. TCHANGANI** (*) CEA, LIST,

More information

A Survey on ARM Cortex A Processors. Wei Wang Tanima Dey

A Survey on ARM Cortex A Processors. Wei Wang Tanima Dey A Survey on ARM Cortex A Processors Wei Wang Tanima Dey 1 Overview of ARM Processors Focusing on Cortex A9 & Cortex A15 ARM ships no processors but only IP cores For SoC integration Targeting markets:

More information

Am186ER/Am188ER AMD Continues 16-bit Innovation

Am186ER/Am188ER AMD Continues 16-bit Innovation Am186ER/Am188ER AMD Continues 16-bit Innovation 386-Class Performance, Enhanced System Integration, and Built-in SRAM Problem with External RAM All embedded systems require RAM Low density SRAM moving

More information

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-4, Issue-1 E-ISSN: 2347-2693 A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology Zahra

More information

Chapter 9 Latches, Flip-Flops, and Timers

Chapter 9 Latches, Flip-Flops, and Timers ETEC 23 Programmable Logic Devices Chapter 9 Latches, Flip-Flops, and Timers Shawnee State University Department of Industrial and Engineering Technologies Copyright 27 by Janna B. Gallaher Latches A temporary

More information

Process modules Digital input PMI for 24 V DC inputs for 120 V AC inputs

Process modules Digital input PMI for 24 V DC inputs for 120 V AC inputs E031026 000823 Process modules Digital input PMI for inputs for 120 V AC inputs PMI Input E4, E5, GND L- PMI 120 V AC Input E4, E5, Common C E6, E7, GND L- E6, E7, Common C LEDs for the inputs operation

More information

Semiconductor Memories

Semiconductor Memories Semiconductor Memories Semiconductor memories array capable of storing large quantities of digital information are essential to all digital systems Maximum realizable data storage capacity of a single

More information

Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells

Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells Sushil B. Bhaisare 1, Sonalee P. Suryawanshi 2, Sagar P. Soitkar 3 1 Lecturer in Electronics Department, Nagpur University, G.H.R.I.E.T.W. Nagpur,

More information

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i Layout and Cross-section of an inverter Lecture 5 A Layout Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London V DD Q p A V i V o URL: www.ee.ic.ac.uk/pcheung/

More information

International Journal of Electronics and Computer Science Engineering 1482

International Journal of Electronics and Computer Science Engineering 1482 International Journal of Electronics and Computer Science Engineering 1482 Available Online at www.ijecse.org ISSN- 2277-1956 Behavioral Analysis of Different ALU Architectures G.V.V.S.R.Krishna Assistant

More information

A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link

A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link Kang jik Kim, Ki sang Jeong, Seong ik Cho The Department of Electronics Engineering Chonbuk National

More information

Outline. Introduction. Multiprocessor Systems on Chip. A MPSoC Example: Nexperia DVP. A New Paradigm: Network on Chip

Outline. Introduction. Multiprocessor Systems on Chip. A MPSoC Example: Nexperia DVP. A New Paradigm: Network on Chip Outline Modeling, simulation and optimization of Multi-Processor SoCs (MPSoCs) Università of Verona Dipartimento di Informatica MPSoCs: Multi-Processor Systems on Chip A simulation platform for a MPSoC

More information

An All-Digital Phase-Locked Loop with High Resolution for Local On-Chip Clock Synthesis

An All-Digital Phase-Locked Loop with High Resolution for Local On-Chip Clock Synthesis An All-Digital Phase-Locked Loop with High Resolution for Local On-Chip Clock Synthesis Oliver Schrape 1, Frank Winkler 2, Steffen Zeidler 1, Markus Petri 1, Eckhard Grass 1, Ulrich Jagdhold 1 International

More information

Systematic Design for a Successive Approximation ADC

Systematic Design for a Successive Approximation ADC Systematic Design for a Successive Approximation ADC Mootaz M. ALLAM M.Sc Cairo University - Egypt Supervisors Prof. Amr Badawi Dr. Mohamed Dessouky 2 Outline Background Principles of Operation System

More information

A+ Guide to Managing and Maintaining Your PC, 7e. Chapter 1 Introducing Hardware

A+ Guide to Managing and Maintaining Your PC, 7e. Chapter 1 Introducing Hardware A+ Guide to Managing and Maintaining Your PC, 7e Chapter 1 Introducing Hardware Objectives Learn that a computer requires both hardware and software to work Learn about the many different hardware components

More information

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces White Paper Introduction The DDR3 SDRAM memory architectures support higher bandwidths with bus rates of 600 Mbps to 1.6 Gbps (300 to 800 MHz), 1.5V operation for lower power, and higher densities of 2

More information

On-chip clock error characterization for clock distribution system

On-chip clock error characterization for clock distribution system On-chip clock error characterization for clock distribution system Chuan Shan, Dimitri Galayko, François Anceau Laboratoire d informatique de Paris 6 (LIP6) Université Pierre & Marie Curie (UPMC), Paris,

More information

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule All Programmable Logic Hans-Joachim Gelke Institute of Embedded Systems Institute of Embedded Systems 31 Assistants 10 Professors 7 Technical Employees 2 Secretaries www.ines.zhaw.ch Research: Education:

More information

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: "Embedded Systems - ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: Embedded Systems - , Raj Kamal, Publs.: McGraw-Hill Education Lesson 7: SYSTEM-ON ON-CHIP (SoC( SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY 1 VLSI chip Integration of high-level components Possess gate-level sophistication in circuits above that of the counter,

More information

A Utility for Leakage Power Recovery within PrimeTime 1 SI

A Utility for Leakage Power Recovery within PrimeTime 1 SI within PrimeTime 1 SI Bruce Zahn LSI Corporation Bruce.Zahn@lsi.com ABSTRACT This paper describes a utility which is run within the PrimeTime SI signoff environment that recovers leakage power and achieves

More information

ECE124 Digital Circuits and Systems Page 1

ECE124 Digital Circuits and Systems Page 1 ECE124 Digital Circuits and Systems Page 1 Chip level timing Have discussed some issues related to timing analysis. Talked briefly about longest combinational path for a combinational circuit. Talked briefly

More information

CMOS Binary Full Adder

CMOS Binary Full Adder CMOS Binary Full Adder A Survey of Possible Implementations Group : Eren Turgay Aaron Daniels Michael Bacelieri William Berry - - Table of Contents Key Terminology...- - Introduction...- 3 - Design Architectures...-

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

A Laser Scanner Chip Set for Accurate Perception Systems

A Laser Scanner Chip Set for Accurate Perception Systems A Laser Scanner Chip Set for Accurate Perception Systems 313 A Laser Scanner Chip Set for Accurate Perception Systems S. Kurtti, J.-P. Jansson, J. Kostamovaara, University of Oulu Abstract This paper presents

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

MSFET 3332. MICROSENS Miniature ph Sensor Module

MSFET 3332. MICROSENS Miniature ph Sensor Module Product Data Sheet MSFET 3332 MICROSENS Miniature ph Sensor Module Ta2O5 gate Ion Sensitive Field Effect transistor (ISFET) The MSFET3332 ph sensor module comprises a ph-isfet sensing element, an integrated

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

A New, High-Performance, Low-Power, Floating-Point Embedded Processor for Scientific Computing and DSP Applications

A New, High-Performance, Low-Power, Floating-Point Embedded Processor for Scientific Computing and DSP Applications 1 A New, High-Performance, Low-Power, Floating-Point Embedded Processor for Scientific Computing and DSP Applications Simon McIntosh-Smith Director of Architecture 2 Multi-Threaded Array Processing Architecture

More information

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications A -GSPS CMOS Flash A/D Converter for System-on-Chip Applications Jincheol Yoo, Kyusun Choi, and Ali Tangel Department of Computer Science & Department of Computer & Engineering Communications Engineering

More information

路 論 Chapter 15 System-Level Physical Design

路 論 Chapter 15 System-Level Physical Design Introduction to VLSI Circuits and Systems 路 論 Chapter 15 System-Level Physical Design Dept. of Electronic Engineering National Chin-Yi University of Technology Fall 2007 Outline Clocked Flip-flops CMOS

More information

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 13.7 A 40Gb/s Clock and Data Recovery Circuit in 0.18µm CMOS Technology Jri Lee, Behzad Razavi University of California, Los Angeles, CA

More information

ABB Drives. User s Manual HTL Encoder Interface FEN-31

ABB Drives. User s Manual HTL Encoder Interface FEN-31 ABB Drives User s Manual HTL Encoder Interface FEN-31 HTL Encoder Interface FEN-31 User s Manual 3AUA0000031044 Rev B EN EFFECTIVE: 2010-04-06 2010 ABB Oy. All Rights Reserved. 5 Safety instructions

More information

INF4420 Introduction

INF4420 Introduction INF4420 Introduction Spring 2012 Jørgen Andreas Michaelsen (jorgenam@ifi.uio.no) Outline Practical information about the course. Context (placing what we will learn in a larger context) Outline of the

More information

EVALUATING POWER MANAGEMENT CAPABILITIES OF LOW-POWER CLOUD PLATFORMS. Jens Smeds

EVALUATING POWER MANAGEMENT CAPABILITIES OF LOW-POWER CLOUD PLATFORMS. Jens Smeds EVALUATING POWER MANAGEMENT CAPABILITIES OF LOW-POWER CLOUD PLATFORMS Jens Smeds Master of Science Thesis Supervisor: Prof. Johan Lilius Advisor: Dr. Sébastien Lafond Embedded Systems Laboratory Department

More information

Op-Amp Simulation EE/CS 5720/6720. Read Chapter 5 in Johns & Martin before you begin this assignment.

Op-Amp Simulation EE/CS 5720/6720. Read Chapter 5 in Johns & Martin before you begin this assignment. Op-Amp Simulation EE/CS 5720/6720 Read Chapter 5 in Johns & Martin before you begin this assignment. This assignment will take you through the simulation and basic characterization of a simple operational

More information

Serial port interface for microcontroller embedded into integrated power meter

Serial port interface for microcontroller embedded into integrated power meter Serial port interface for microcontroller embedded into integrated power meter Mr. Borisav Jovanović, Prof. dr. Predrag Petković, Prof. dr. Milunka Damnjanović, Faculty of Electronic Engineering Nis, Serbia

More information

Tire pressure monitoring

Tire pressure monitoring Application Note AN601 Tire pressure monitoring 1 Purpose This document is intended to give hints on how to use the Intersema pressure sensors in a low cost tire pressure monitoring system (TPMS). 2 Introduction

More information

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Datasheet -CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Overview -CV is an equivalence checker for full custom designs. It enables efficient comparison of a reference design

More information

Optimizing VCO PLL Evaluations & PLL Synthesizer Designs

Optimizing VCO PLL Evaluations & PLL Synthesizer Designs Optimizing VCO PLL Evaluations & PLL Synthesizer Designs Today s mobile communications systems demand higher communication quality, higher data rates, higher operation, and more channels per unit bandwidth.

More information

Design of a High Speed Communications Link Using Field Programmable Gate Arrays

Design of a High Speed Communications Link Using Field Programmable Gate Arrays Customer-Authored Application Note AC103 Design of a High Speed Communications Link Using Field Programmable Gate Arrays Amy Lovelace, Technical Staff Engineer Alcatel Network Systems Introduction A communication

More information

S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India

S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India Power reduction on clock-tree using Energy recovery and clock gating technique S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India Abstract Power consumption of

More information

Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions

Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions PCI Express Bus In Today s Market PCI Express, or PCIe, is a relatively new serial pointto-point bus in PCs. It was introduced as an AGP

More information

CHAPTER 16 MEMORY CIRCUITS

CHAPTER 16 MEMORY CIRCUITS CHPTER 6 MEMORY CIRCUITS Chapter Outline 6. atches and Flip-Flops 6. Semiconductor Memories: Types and rchitectures 6.3 Random-ccess Memory RM Cells 6.4 Sense-mplifier and ddress Decoders 6.5 Read-Only

More information

Digital to Analog Converter. Raghu Tumati

Digital to Analog Converter. Raghu Tumati Digital to Analog Converter Raghu Tumati May 11, 2006 Contents 1) Introduction............................... 3 2) DAC types................................... 4 3) DAC Presented.............................

More information

ZL40221 Precision 2:6 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet

ZL40221 Precision 2:6 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet Features Inputs/Outputs Accepts two differential or single-ended inputs LVPECL, LVDS, CML, HCSL, LVCMOS Glitch-free switching of references On-chip input termination and biasing for AC coupled inputs Six

More information

Chapter 2 Heterogeneous Multicore Architecture

Chapter 2 Heterogeneous Multicore Architecture Chapter 2 Heterogeneous Multicore Architecture 2.1 Architecture Model In order to satisfy the high-performance and low-power requirements for advanced embedded systems with greater fl exibility, it is

More information

How To Design A Single Chip System Bus (Amba) For A Single Threaded Microprocessor (Mma) (I386) (Mmb) (Microprocessor) (Ai) (Bower) (Dmi) (Dual

How To Design A Single Chip System Bus (Amba) For A Single Threaded Microprocessor (Mma) (I386) (Mmb) (Microprocessor) (Ai) (Bower) (Dmi) (Dual Architetture di bus per System-On On-Chip Massimo Bocchi Corso di Architettura dei Sistemi Integrati A.A. 2002/2003 System-on on-chip motivations 400 300 200 100 0 19971999 2001 2003 2005 2007 2009 Transistors

More information

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell.

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell. CHAPTER 4 THE ADDER The adder is one of the most critical components of a processor, as it is used in the Arithmetic Logic Unit (ALU), in the floating-point unit and for address generation in case of cache

More information

Riding silicon trends into our future

Riding silicon trends into our future Riding silicon trends into our future VLSI Design and Embedded Systems Conference, Bangalore, Jan 05 2015 Sunit Rikhi Vice President, Technology & Manufacturing Group General Manager, Intel Custom Foundry

More information

True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique

True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique Priyanka Sharma ME (ECE) Student NITTTR Chandigarh Rajesh Mehra Associate Professor Department of ECE NITTTR Chandigarh

More information

NAME AND SURNAME. TIME: 1 hour 30 minutes 1/6

NAME AND SURNAME. TIME: 1 hour 30 minutes 1/6 E.T.S.E.T.B. MSc in ICT FINAL EXAM VLSI Digital Design Spring Course 2005-2006 June 6, 2006 Score publication date: June 19, 2006 Exam review request deadline: June 22, 2006 Academic consultancy: June

More information

The new 32-bit MSP432 MCU platform from Texas

The new 32-bit MSP432 MCU platform from Texas Technology Trend MSP432 TM microcontrollers: Bringing high performance to low-power applications The new 32-bit MSP432 MCU platform from Texas Instruments leverages its more than 20 years of lowpower leadership

More information

Chapter 9 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 9 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 9 Semiconductor Memories Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 2 Outline Introduction

More information

DS1104 R&D Controller Board

DS1104 R&D Controller Board DS1104 R&D Controller Board Cost-effective system for controller development Highlights Single-board system with real-time hardware and comprehensive I/O Cost-effective PCI hardware for use in PCs Application

More information

Wireless Security Camera

Wireless Security Camera Wireless Security Camera Technical Manual 12/14/2001 Table of Contents Page 1.Overview 3 2. Camera Side 4 1.Camera 5 2. Motion Sensor 5 3. PIC 5 4. Transmitter 5 5. Power 6 3. Computer Side 7 1.Receiver

More information