Chapter 10 CVD and Dielectric Thin Film

Size: px
Start display at page:

Download "Chapter 10 CVD and Dielectric Thin Film"

Transcription

1 Chapter 10 CVD and Dielectric Thin Film 2006/5/23 1 Objectives Identify at least four CVD applications Describe CVD process sequence List the two deposition regimes and describe their relation to temperature List two dielectric thin films 2006/5/23 2 1

2 CVD Oxide vs. Grown Oxide SiO 2 SiO 2 Si Si Si Grown film Bare silicon Deposited film 2006/5/23 3 CVD Oxide vs. Grown Oxide Growth CVD Oxygen is from gas phase Silicon from substrate Oxide grow into silicon Higher temperature Higher quality Both oxygen and silicon are from gas phase Deposit on substrate surface Lower temperature Higher growth rate 2006/5/23 4 2

3 CVD - definition Chemical Vapor Deposition (CVD) Chemical gases or vapors react on the surface of solid, produce solid byproduct on the surface in the form of thin film. Other byproducts are volatile and leave the surface. 2006/5/23 5 CVD reaction Gas or vapor phase precursors are introduced into the reactor Precursors across the boundary layer and reach the surface Precursors adsorb on the substrate surface Adsorbed precursors migrate on the substrate surface Chemical reaction on the substrate surface Solid byproducts form nuclei on the substrate surface Nuclei grow into islands Islands merge into the continuous thin film Other gaseous byproducts desorb from the substrate surface Gaseous byproducts diffuse across the boundary layer Gaseous byproducts flow out of the reactor. 2006/5/23 6 3

4 Figure 10.3 Precursors Showerhead Byproducts Reactants Forced convection region (Laminar flow) Boundary layer Wafer Pedestal 2006/5/23 7 Deposition Process Precursor arrives surface Migrate on the surface React on the surface Nucleation: Island formation 2006/5/23 8 4

5 Deposition Process Islands grow Islands grow, cross-section Islands merge Continuous thin film 2006/5/23 9 CVD Processes APCVD Low-Pressure CVD Plasma-Enhanced CVD Thin films to be deposited : SiO 2 (USG, PSG, BPSG etc) Si 3 N /5/

6 LPCVD Longer MFP Good step coverage & uniformity Operates at low pressure (0.1 ~ 1 Torr) Fewer particles and increased productivity Less dependence on gas flow Vertical and horizontal furnace Runs at temperatures higher than 650ºC 2006/5/23 11 Horizontal Conduction- Convection-heated LPCVD Adaptation of horizontal tube furnace Low pressure: from 0.1 to 1 Torr Used mainly for polysilicon, silicon dioxide and silicon nitride films Can process 200 wafers per batch 2006/5/

7 LPCVD System Pressure Sensor Wafers Loading Door Heating Coils To Pump Process Gas Inlet Temperature Wafer Boat Center Zone Flat Zone Quartz Tube Distance 2006/5/23 13 PECVD Developed when silicon nitride replaced silicon dioxide for passivation layer. Operational pressure from 1 to 10 Torr High deposition rate at relatively low temp. RF induces plasma field in deposition gas Stress control by RF Chamber plasma clean. 2006/5/

8 Plasma Enhanced CVD System Process gases Process chamber Wafer Plasma RF power By-products to the pump Heated plate 2006/5/23 15 Step Coverage A measurement of the deposited film featuring the slope of a step on the substrate surface One of the most important specifications Sidewall step coverage Bottom step coverage Conformality Overhang 2006/5/

9 Step Coverage and Conformity CVD thin film c a Structure h d b Substrate w Sidewall step coverage = b/a Conformity = b/c Bottom step coverage = d/a Overhang = (c - b)/b Aspect ratio = h/w 2006/5/23 17 Factors to impact step coverage Arriving angle of gas precursor Surface mobility of adsorbed precursor 2006/5/

10 Arriving Angle Corner A: 270, corner C: 90 More precursors at corner A Larger the angle, more deposition Thus form the overhang Overhang can cause voids or keyholes 180 B A /5/23 19 C Void Formation Process overhang Metal Dielectric Metal Dielectric Void Metal Dielectric 2006/5/

11 Arriving Angles, Contact Holes Larger arriving angle Smaller arriving angle PSG Silicon Nitride 2006/5/23 21 Control of Arriving Angle Reducing process pressure Tapering opening 2006/5/

12 Step Coverage, Pressure and Surface Mobility APCVD No mobility LPCVD No mobility High mobility 2006/5/23 23 Gap Fill Fill a gap without voids Voids: cause defect and reliability problems Deposition/Etchback/Deposition Silane and PE-TEOS film Conformal deposition O 3 -TEOS and tungsten CVD High density plasma CVD 2006/5/

13 Gap Fill PMD: zero tolerance voids Tungsten can be deposited into these voids Causing shorts IMD: voids below metal may be tolerable reducing process gas could come out later and cause reliability problem 2006/5/23 25 Top view Void in PMD Void Before W CVD Silicide Silicide Sidewall spacers Contact 2006/5/

14 Unwanted W Line Between Gates Top view Tungsten After W CVD Silicide Silicide Sidewall spacers W plug 2006/5/23 27 Deposition/Etchback/Deposition Ar sputtering Dep. USG Al Cu Etch USG Al Cu Dep. USG Al Cu 2006/5/

15 Conformal Deposition Gap Fill 2006/5/23 29 Conformal Deposition Gap Fill 2006/5/

16 Conformal Deposition Gap Fill 2006/5/23 31 HDP CVD Gap Fill Metal Metal Metal Metal Metal Metal Metal Metal Metal 2006/5/

17 Surface Adsorption Determine precursors surface mobility Affect step coverage and gap fill Two mechanisms: Physical adsorption (physisorption) Chemical adsorption (chemisorption) 2006/5/23 33 Chemisorption Actual chemical bonds between surface atom and the adsorbed precursor molecule Bonding energy usually exceeding 2 ev Low surface mobility Ion bombardment with10 to 20 ev energy in PECVD processes can cause some surface migration of chemisorbed precursors 2006/5/

18 Physisorption Weak bond between surface and precursor Bonding energy usually less than 0.5 ev Dipole-dipole force (hydrogen bonding) Van der Waals forces Ion bombardment and thermal energy at 400 C can cause migration of physisorbed precursors High surface mobility 2006/5/23 35 Bonding energy Adsorptions Distance from surface Physisorbed precursor Chemisorbed precursor Substrate Surface 2006/5/

19 CVD Applications FILMS PRECURSORS Si (poly) SiH 4 (silane) Semiconductor SiCl 2H 2 (DCS) Si (epi) SiCl 3H (TCS) SiCl 4 (Siltet) LPCVD SiH 4, O 2 SiO 2 (glass) PECVD SiH 4, N 2O Dielectrics PECVD Si(OC 2H 5) 4 (TEOS), O 2 LPCVD APCVD&SACVD TM TEOS Oxynitride SiH 4, N 2O, N 2, NH 3 TEOS, O 3 (ozone) PECVD SiH 4, N 2, NH 3 Si 3N 4 LPCVD SiH 4, N 2, NH 3 LPCVD C 8H 22N 2Si (BTBAS) W (Tungsten) WF 6 (Tungsten hexafluoride), SiH 4, H 2 WSi 2 WF 6 (Tungsten hexafluoride), SiH 4, H 2 Conductors TiN Ti[N (CH 3) 2] 4 (TDMAT) Ti TiCl 4 Cu 2006/5/23 37 Dielectric Thin Film Applications Multi-level metal interconnection CVD and SOG plus CVD dielectrics Shallow trench isolation (STI) Sidewall spacer for salicide, LDD, and the source/drain diffusion buffer The passivation dielectric (PD) Dielectric ARC for feature size < 0.25 m 2006/5/

20 Dielectric Thin Film Applications Inter layer dielectric, or ILD, include PMD and IMD Pre-metal dielectric: PMD normally PSG or BPSG Temperature limited by thermal budget Inter-metal dielectric: IMD USG or FSG Normally deposited around 400 C 2006/5/23 39 CMOS example Nitride Oxide PD2 ARC PMD or ILD1 Metal 2, Al Cu W W USG Al Cu Metal 1, Al Cu BPSG PD1 IMD or ILD2 WCVD Sidewall spacer STI n+ n+ USG P-well P-epi P-wafer p+ p+ N-well STI TiN CVD 2006/5/

21 Step Coverage of TEOS and Silane Oxide TEOS Silane 2006/5/23 41 Applications of Dielectric Thin film Shallow trench isolation (STI, USG) Sidewall Spacer (USG) Pre-metal dielectric (PMD, PSG or BPSG) Inter-metal dielectric (IMD, USG or FSG) Anti-reflection coating (ARC, SiON) Passivation dielectric (PD, Oxide/Nitride) 2006/5/

22 Dielectric CVD, Oxide and Nitride Oxide (SiO 2 ) Nitride (Si 3 N 4 ) Similar dielectric strength, > V/cm Similar dielectric strength, > V/cm Lower dielectric constant, = 3.9 Higher dielectric constant, = 7.0 Not a good barrier for moisture and mobile ion (Na + ) Transparent to UV Good barrier for moisture and mobile ion (Na + ) Conventional nitride opaque to UV Can be doped with P and B 2006/5/23 43 Shallow Trench Isolation (STI) Grow pad oxide Deposition nitride Etch nitride, oxide and silicon Grow barrier oxide CVD USG trench fill CMP USG Anneal USG Strip nitride and oxide USG USG USG Si Si Si Si Si 2006/5/

23 Shallow Trench Isolation (STI) 2006/5/23 45 PMD Doped oxide PSG or BPSG Phosphorus: gettering sodium and reduce flow temperature. Boron: further reduces flow temperature without excessive phosphorus 2006/5/

24 Sodium Ion Turn-on the MOSFET V G = 0 V D Sodium ions Electron flow V G = 0 V D > 0 Metal Gate Metal Gate SiO 2 n + n + p-si Source Drain Thin oxide n + n + p-si Source Drain Normal off Electrons Turn on by Na /5/23 47 PMD More phosphorus, lower reflow temperature >7wt% phosphorus, hygroscope P 2 O 5 + 3H 2 O 2H 3 PO 4 H 3 PO 4 etches aluminum causes metal corrosion Too much boron will cause crystallization of boric acid. H 3 BO 3. Limit, P% + B% < 10% 2006/5/

25 Question Silicon nitride is a better sodium barrier layer than silicon oxide. Why don t people just use nitride for PMD layer? 2006/5/23 49 Answer Silicon nitride has higher dielectric constant Using nitride can cause longer RC time delay and reduce circuit speed A thin layer of nitride (~ 200 Å) is commonly used as a diffusion barrier layer in the PMD application Prevent diffusion of phosphorus and boron from BPSG diffusing into source/drain 2006/5/

26 PSG Reflow at 1100 C, N 2, 20 min. 0% 2.2% 4.6% 7.2% Source: VLSI Technology, by S.M. Sze 2006/5/ BPSG Reflow at 850 C, 30 Minutes in N 2 Ambient 2006/5/

27 Development of PMD Processes Dimension PMD Planarization Reflow temperature > 2 m PSG Reflow 1100 C m BPSG Reflow C 0.25 m BPSG Reflow + CMP 750 C 0.18 m PSG CMP /5/23 53 PMD Applications Roadmap Feature Size ( m) Year Wafer Size (mm) O 3 -TEOS BPSG Thermal Flow or RTP/CMP APCVD Silane BPSG PE-TEOS BPSG LPCVD BPSG Thermal Flow O 3 -TEOS PSG + PE-PSG CMP HDP PSG + PE-PSG CMP Low-k Dielectric CMP 2006/5/

28 IMD Inter-metal dielectric Undoped silicate glass (USG) or FSG Spin-on-glass (SOG) Gap fill and planarization Temperature limited by metal melting Normally 400 C PE-TEOS, O 3 -TEOS, and HDP 2006/5/23 55 TEOS H Tetraethyloxysilane, Si(OC 2 H 5 ) 4 Liquid silicon source H H H H C C O H H H H Commonly used for SiO 2 deposition H C C O Si O C C H H O H H H Good step coverage and gap fill H H C C H H H 2006/5/

29 PE-TEOS Plasma-enhanced TEOS CVD processes TEOS and O 2 Most commonly used dielectric CVD process Deposit USG at ~400 C Mainly for IMD 2006/5/23 57 Spin-on Glass Process Steps PECVD USG barrier layer Spin-on glass SOG cure SOG etchback PECVD USG cap 2006/5/

30 Spin-on Glass (SOG) Processes METAL 4 IMD 3 METAL 3 IMD /5/23 59 PE-TEOS PE-TEOS Photo courtesy: Applied Materials Sputtering etchback PE-TEOS 2006/5/

31 TEOS and Ozone O 3 -TEOS O 3 O 2 + O (half-life time: 86 hours at 22 C, < 1ms at 400 C) O + TEOS USG + other volatile byproducts Excellent step coverage and gap fill. Applied for IMD and PMD 2006/5/23 61 O 3 -TEOS vs PE-TEOS PE-TEOS Ozone-TEOS Step coverage: 50% Step coverage: 90% Conformality: 87.5% Conformality: 100% 2006/5/

32 Dielectric Thin Film Characteristics Refractive index Thickness Uniformity Stress Particles 2006/5/23 63 Refractive Index Refractive index, n = Speed of light in vacuum Speed of light in the film 2006/5/

33 Incident light Refractive Index Vacuum n 1 n 1 sin 1 = n 2 sin 2 Film n 2 Refractive light 2006/5/23 65 Film Information from R.I. Refractive index Oxygen rich Nitrogen rich 4.0 Polysilicon Oxygen rich Nitrogen rich Oxygen rich Si 3 N 4 Oxynitride SiO 2 Silicon rich Nitrogen rich Silicon rich 2006/5/

34 Ellipsometry R.I. Measurement Linearly Polarized Incident Light Elliptically Polarized Reflected Light s p n 1, k 1, t 1 n 2, k /5/23 67 Thickness Measurement One of the most important measurements for dielectric thin film processes. Determines Film deposition rate Wet etch rate Shrinkage 2006/5/

35 Dielectric Thin Film Thickness Measurement Incident light 1 2 Human eye or photodetector t Dielectric film, n( ) Substrate 2006/5/23 69 Dielectric Thin Film Thickness Measurement Different thickness has different color Tilting wafer also changes the color 2006/5/

36 Question 1 If you see some beautiful color rings on a wafer with a CVD dielectric layer, what is your conclusion? 2006/5/23 71 Answer Color change indicates the dielectric thin film thickness change, thus we know the film with the color rings must have problem with thickness uniformity, which is most likely caused by a non-uniform thin film deposition process. 2006/5/

37 Question 2 Why does the thin film color change when one look at the wafer from different angle? 2006/5/23 73 Answer When one looking at wafer from a different angle, phase shift will change, thus wavelength for constructive interference will change, which causes color change It is important to hold the wafer straight when using the color chart to measure film thickness Tilt wafer makes the film thickness thicker than it actually is 2006/5/

38 Deposition Rate Deposition Rate = Thickness of deposited film Deposition time 2006/5/23 75 Wet Etch Rate Wet Etch Rate = Thickness change after etch Etch time Wet etch rate ratio = Thickness change of the CVD film Thickness change of the thermal oxide film 2006/5/

39 Uniformity Multi-point measurement Definition x1 x Average: x Standard deviation: 2 x 3 N x N ( x 1 x ) 2 ( x 2 x ) 2 ( x 3 N 1 x ) 2 ( x N x ) 2 Standard deviation non-uniformity: /x 2006/5/23 77 Film Stress Mismatch between different materials Two kinds of stresses : intrinsic and extrinsic Intrinsic stress develops during the film nucleation and growth process. The extrinsic stress results from differences in the coefficients of thermal expansion Tensile stress: cracking film if too high (e.g. nitride film) Compressive stress: hillock if too strong (e.g. oxide film) 2006/5/

40 Film Stress Bare Wafer After Thin Film Deposition Substrate Substrate Compressive Stress Negative curvature Substrate Tensile Stress Positive curvature 2006/5/23 79 Illustration of Thermal Stress SiO 2 Si At 400 C L SiO 2 Si At Room Temperature L = T L L 2006/5/

41 Coefficients of Thermal Expansion (SiO 2 ) = C 1 (Si) = C 1 (Si 3 N 4 ) = C 1 (W) = C 1 (Al) = C /5/23 81 Stress Measurement 2 E h 1 1 ( ) 1 6t R2 R1 Wafer curvature changes before and after thin film deposition Laser beam scans wafer surface, reflection light indicates the wafer curvature 2006/5/

42 Stress Measurement Laser Mirror Detector 2006/5/23 83 Future Trends HDP-CVD USG for STI Nitride or O 3 -TEOS oxide for sidewall spacer PECVD or RPCVD for PMD barrier nitride HDP-CVD PSG for PMD CMP for planarization Low- dielectric for IMD Silicon oxide/nitride as passivation dielectric 2006/5/

43 Future Trends High- gate dielectric Possible candidates: TiO 2, Ta 2 O 5, and HfO 2 CVD and RTA 2006/5/23 85 Future Trends: Low- Dielectrics Need to reduce RC time delay low- reduces C and copper reduces R Require high thermal stability, high thermal conductivity, and process integration capability CVD CSG (C x Si y O, ~ ) and -CF (C x F y, ~ ) Spin-on dielectrics (SOD) Hydrogen silsequioxane (HSQ, ~ 3.0), Porous SOD such as xerogels ( ~ ) 2006/5/

44 Future Trends: Low- Dielectrics Damascene process Copper metallization No gap fill, no planarization problem Main challenge: Integrate low- with copper metallization 2006/5/23 87 Interconnection Processes Dielectric deposition/planarization Dielectric deposition/planarization Via etch Via etch 2006/5/

45 Interconnection Processes Via fill and polish Trench etch Metal deposition Metal deposition 2006/5/23 89 Interconnection Processes Metal etch Metal polish 2006/5/

46 Summary Applications of dielectric thin film are STI, sidewall spacer, PMD, IMD and PD, in which IMD application is the dominant one Silicon oxide and silicon nitride are the two most commonly used dielectric materials 2006/5/23 91 Summary Basic CVD process sequence: introducing precursor, precursor diffusion and adsorption, chemical reaction, gaseous byproducts desorption and diffusion PMD uses PSG or BPSG, temperature are limited by thermal budget IMD mainly uses USG or FSG, temperature is limited by aluminum melting point PD usually uses both oxide and nitride 2006/5/

47 Summary Silane and TEOS are the two silicon sources for dielectric CVD processes O 2, N 2 O, and O 3 are main oxygen precursors NH 3 and N 2 are the main nitrogen sources Fluorine chemistry is commonly used for dielectric CVD chamber dry clean CF 4, C 2 F 6, C 3 F 8 and NF 3 are the most commonly used fluorine source gases 2006/5/23 93 Summary Argon sputtering process is used for dep/etch/dep gap fill application Compressive stress (~100 MPa) is favored for the dielectric thin film 2006/5/

Chapter 11 PVD and Metallization

Chapter 11 PVD and Metallization Chapter 11 PVD and Metallization 2006/5/23 1 Metallization Processes that deposit metal thin film on wafer surface. 2006/5/23 2 1 Metallization Definition Applications PVD vs. CVD Methods Vacuum Metals

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Chapter 12. Chemical Mechanical Polishing

Chapter 12. Chemical Mechanical Polishing Chapter 12 Chemical Mechanical Polishing 1 Objectives List applications of CMP Describe basic structure of a CMP system Describe slurries for oxide and metal CMP Describe oxide CMP process. Describe metal

More information

CRYSTAL DEFECTS: Point defects

CRYSTAL DEFECTS: Point defects CRYSTAL DEFECTS: Point defects Figure 10.15. Point defects. (a) Substitutional impurity. (b) Interstitial impurity. (c) Lattice vacancy. (d) Frenkeltype defect. 9 10/11/004 Ettore Vittone- Fisica dei Semiconduttori

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Electron Beam and Sputter Deposition Choosing Process Parameters

Electron Beam and Sputter Deposition Choosing Process Parameters Electron Beam and Sputter Deposition Choosing Process Parameters General Introduction The choice of process parameters for any process is determined not only by the physics and/or chemistry of the process,

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

Deposition Overview for Microsytems

Deposition Overview for Microsytems Deposition Overview for Microsytems Deposition PK Activity Terminology Participant Guide www.scme-nm.org Deposition Overview for Microsystems Primary Knowledge Participant Guide Description and Estimated

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z The ALD Powerhouse Picosun Defining the future of ALD Picosun s history and background date back to the very beginning of the field of atomic layer deposition. ALD was invented in Finland in 1974 by Dr.

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology General Plasma, Inc. 546 East 25th Street Tucson, Arizona 85713 tel. 520-882-5100 fax. 520-882-5165 and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology M.

More information

Our Embedded Dream of the Invisible Future

Our Embedded Dream of the Invisible Future Our Embedded Dream of the Invisible Future Since the invention of semiconductor chips, the evolution of mankind s culture, society and lifestyle has accelerated at a pace never before experienced. Information

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons D.Monaghan, V. Bellido-Gonzalez, M. Audronis. B. Daniel Gencoa, Physics Rd, Liverpool, L24 9HP, UK. www.gencoa.com,

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Figure 10.1. Process flow from starting material to polished wafer.

Figure 10.1. Process flow from starting material to polished wafer. Figure 10.1. Process flow from starting material to polished wafer. 1/11/003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XI 1 Starting material: silicon dioxide (SiO ): pure form of sand (quartzite)

More information

B) atomic number C) both the solid and the liquid phase D) Au C) Sn, Si, C A) metal C) O, S, Se C) In D) tin D) methane D) bismuth B) Group 2 metal

B) atomic number C) both the solid and the liquid phase D) Au C) Sn, Si, C A) metal C) O, S, Se C) In D) tin D) methane D) bismuth B) Group 2 metal 1. The elements on the Periodic Table are arranged in order of increasing A) atomic mass B) atomic number C) molar mass D) oxidation number 2. Which list of elements consists of a metal, a metalloid, and

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

Formation of solids from solutions and melts

Formation of solids from solutions and melts Formation of solids from solutions and melts Solids from a liquid phase. 1. The liquid has the same composition as the solid. Formed from the melt without any chemical transformation. Crystallization and

More information

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include:

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include: CVD SILICON CARBIDE CVD SILICON CARBIDE is the ideal performance material for design engineers. It outperforms conventional forms of silicon carbide, as well as other ceramics, quartz, and metals in chemical

More information

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators Dr Peter Hockley and Professor Mike Thwaites, Plasma Quest Limited

More information

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets.

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets. INF4420 Layout and CMOS processing technology Spring 2012 1 / 76 Outline CMOS Fabrication overview Design rules Layout of passive and active componets Packaging 2 / 76 Introduction As circuit designers

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

This paper describes Digital Equipment Corporation Semiconductor Division s

This paper describes Digital Equipment Corporation Semiconductor Division s WHITEPAPER By Edd Hanson and Heather Benson-Woodward of Digital Semiconductor Michael Bonner of Advanced Energy Industries, Inc. This paper describes Digital Equipment Corporation Semiconductor Division

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

White Paper. Moisture in Hermetic Packages By Craig Hillman, PhD

White Paper. Moisture in Hermetic Packages By Craig Hillman, PhD White Paper Moisture in Hermetic Packages By Craig Hillman, PhD Moisture in Hermetic Packages Hermetic packaging of micro-electronic and opto-electronic devices is commonly utilized to protect the devices

More information

Chapter 6 Metal Films and Filters

Chapter 6 Metal Films and Filters Chapter 6 Metal Films and Filters 6.1 Mirrors The first films produced by vacuum deposition as we know it were aluminum films for mirrors made by John Strong in the 1930s; he coated mirrors for astronomical

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Spectroscopic Ellipsometry:

Spectroscopic Ellipsometry: Spectroscopic : What it is, what it will do, and what it won t do by Harland G. Tompkins Introduction Fundamentals Anatomy of an ellipsometric spectrum Analysis of an ellipsometric spectrum What you can

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com Introduction to Semiconductor Manufacturing Technology Chapter 1, Introduction Hong Xiao, Ph. D. hxiao89@hotmail.com Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objective After taking this

More information

3. What would you predict for the intensity and binding energy for the 3p orbital for that of sulfur?

3. What would you predict for the intensity and binding energy for the 3p orbital for that of sulfur? PSI AP Chemistry Periodic Trends MC Review Name Periodic Law and the Quantum Model Use the PES spectrum of Phosphorus below to answer questions 1-3. 1. Which peak corresponds to the 1s orbital? (A) 1.06

More information

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 16, No. 2, pp. 90-94, April 25, 2015 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2015.16.2.90 OAK Central:

More information

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING Gim S. Chen, Ismail Kashkoush, and Rich E. Novak AKrion LLC 633 Hedgewood Drive, #15 Allentown, PA 1816, USA ABSTRACT Ozone-based HF chemistry

More information

The Periodic Table: Periodic trends

The Periodic Table: Periodic trends Unit 1 The Periodic Table: Periodic trends There are over one hundred different chemical elements. Some of these elements are familiar to you such as hydrogen, oxygen, nitrogen and carbon. Each one has

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob Chemical Sputtering von Kohlenstoff durch Wasserstoff W. Jacob Centre for Interdisciplinary Plasma Science Max-Planck-Institut für Plasmaphysik, 85748 Garching Content: Definitions: Chemical erosion, physical

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

Ensuring safety and uptime by managing condensable gases

Ensuring safety and uptime by managing condensable gases Ensuring safety and uptime by managing condensable gases The need to safely exhaust CVD reaction by-products is increasing as complex device structures gain prominence in microelectronic manufacturing.

More information

Plasma Etching ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING. Plasma Etching. Dr. Lynn Fuller. http://people.rit.

Plasma Etching ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING. Plasma Etching. Dr. Lynn Fuller. http://people.rit. ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Plasma Etching Dr. Lynn Fuller http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

REV 0 WAFER FABRICATION FLOWCHART CMOS PROCESS

REV 0 WAFER FABRICATION FLOWCHART CMOS PROCESS WAFER FABRICATION FLOWCHART INCOMING Vendor: Product: Package: Location of Wafer Fab: Assembly: Final Test: Q.C. Test: Source Accept Test: Quality Contact: Linear Technology Corporation CMOS Products All

More information

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis August 17, 2006 Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Adsorption at Surfaces

Adsorption at Surfaces Adsorption at Surfaces Adsorption is the accumulation of particles (adsorbate) at a surface (adsorbent or substrate). The reverse process is called desorption. fractional surface coverage: θ = Number of

More information

High performance. Architectural glazings utilise thin. low-emissivity coating. Coating technology

High performance. Architectural glazings utilise thin. low-emissivity coating. Coating technology Coating technology High performance low-emissivity coating Growing concern with energy efficiency has sparked the development of double low-emissivity coatings in architectural glass. BOC Coating has designed

More information

OLED display. Ying Cao

OLED display. Ying Cao OLED display Ying Cao Outline OLED basics OLED display A novel method of fabrication of flexible OLED display Potentials of OLED Suitable for thin, lightweight, printable displays Broad color range Good

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Lapping and Polishing Basics

Lapping and Polishing Basics Lapping and Polishing Basics Applications Laboratory Report 54 Lapping and Polishing 1.0: Introduction Lapping and polishing is a process by which material is precisely removed from a workpiece (or specimen)

More information

Lecture 1. Introduction to Semiconductor Devices. Reading:

Lecture 1. Introduction to Semiconductor Devices. Reading: Lecture 1 Introduction to Semiconductor Devices Reading: Notes and Anderson 2 Chapters 1.1-1.3, 1.7-1.9 Atoms to Operational Amplifiers The goal of this course is to teach the fundamentals of non-linear

More information

MOS (metal-oxidesemiconductor) 李 2003/12/19

MOS (metal-oxidesemiconductor) 李 2003/12/19 MOS (metal-oxidesemiconductor) 李 2003/12/19 Outline Structure Ideal MOS The surface depletion region Ideal MOS curves The SiO 2 -Si MOS diode (real case) Structure A basic MOS consisting of three layers.

More information

Sample Exercise 12.1 Calculating Packing Efficiency

Sample Exercise 12.1 Calculating Packing Efficiency Sample Exercise 12.1 Calculating Packing Efficiency It is not possible to pack spheres together without leaving some void spaces between the spheres. Packing efficiency is the fraction of space in a crystal

More information

How To Implant Anneal Ion Beam

How To Implant Anneal Ion Beam ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING MEMS Ion Implant Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology

More information

How compact discs are made

How compact discs are made How compact discs are made Explained by a layman for the laymen By Kevin McCormick For Science project at the Mountain View Los Altos High School Abstract As the major media for music distribution for

More information

1. PECVD in ORGANOSILICON FED PLASMAS

1. PECVD in ORGANOSILICON FED PLASMAS F. FRACASSI Department of Chemistry, University of Bari (Italy) Plasma Solution srl SURFACE MODIFICATION OF POLYMERS AND METALS WITH LOW TEMPERATURE PLASMA OUTLINE METAL TREATMENTS 1 low pressure PECVD

More information

Untitled Document. 1. Which of the following best describes an atom? 4. Which statement best describes the density of an atom s nucleus?

Untitled Document. 1. Which of the following best describes an atom? 4. Which statement best describes the density of an atom s nucleus? Name: Date: 1. Which of the following best describes an atom? A. protons and electrons grouped together in a random pattern B. protons and electrons grouped together in an alternating pattern C. a core

More information

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius JePPIX Course Processing Wet and dry etching processes Huub Ambrosius Material removal: etching processes Etching is done either in dry or wet methods: Wet etching uses liquid etchants with wafers immersed

More information

UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES. Ex parte KEVIN MUKAI and SHANKAR CHANDRAN

UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES. Ex parte KEVIN MUKAI and SHANKAR CHANDRAN UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES Ex parte KEVIN MUKAI and SHANKAR CHANDRAN Appeal 2009-002624 Technology Center 1700 Decided: 1 June 01, 2009

More information

Silicon Dioxide Layer Key to High Efficiency Crystalline Solar Cells

Silicon Dioxide Layer Key to High Efficiency Crystalline Solar Cells 11760 Sorrento Valley Road, Suite E San Diego, CA 92121 858.259.1220 / 858.259.0123 fax www.rasirc.com Silicon Dioxide Layer Key to High Efficiency Crystalline Solar Cells Wet Thermal Oxide Films enable

More information

Chapter 5: Diffusion. 5.1 Steady-State Diffusion

Chapter 5: Diffusion. 5.1 Steady-State Diffusion : Diffusion Diffusion: the movement of particles in a solid from an area of high concentration to an area of low concentration, resulting in the uniform distribution of the substance Diffusion is process

More information

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy 35 years of ALD Conventional methods for compound film deposition Heat treatment Final crystallization Nucleation Vacuum evaporation Sputtering CVD Buildup of thin film in source controlled deposition

More information

Lecture 35: Atmosphere in Furnaces

Lecture 35: Atmosphere in Furnaces Lecture 35: Atmosphere in Furnaces Contents: Selection of atmosphere: Gases and their behavior: Prepared atmospheres Protective atmospheres applications Atmosphere volume requirements Atmosphere sensors

More information

SFxxx-S PID Test Report (Potential Induced Degradation) TUV Rheinland Japan. ARC Product Management 2015.07 Ver. 1

SFxxx-S PID Test Report (Potential Induced Degradation) TUV Rheinland Japan. ARC Product Management 2015.07 Ver. 1 SFxxx-S PID Test Report (Potential Induced Degradation) TUV Rheinland Japan ARC Product Management 2015.07 Ver. 1 Outline SLIDE 1 2 Outline What is PID? TOPICS 3 4 5 6 7 8 Principle of PID & resistance

More information

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

States of Matter CHAPTER 10 REVIEW SECTION 1. Name Date Class. Answer the following questions in the space provided.

States of Matter CHAPTER 10 REVIEW SECTION 1. Name Date Class. Answer the following questions in the space provided. CHAPTER 10 REVIEW States of Matter SECTION 1 SHORT ANSWER Answer the following questions in the space provided. 1. Identify whether the descriptions below describe an ideal gas or a real gas. ideal gas

More information

Lecture 9. Surface Treatment, Coating, Cleaning

Lecture 9. Surface Treatment, Coating, Cleaning 1 Lecture 9. Surface Treatment, Coating, Cleaning These processes are sometimes referred to as post-processing. They play a very important role in the appearance, function and life of the product. Broadly,

More information

Dry Etch Process Application Note

Dry Etch Process Application Note G-106-0405 pplication ulletin Dry Etch Process pplication Note nthony Ricci Etch Process Overview The etching process removes selected areas from wafer substrates. The two types of etching processes used

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

Etching and Pattern Transfer (1) OUTLINE. 6.152J / 3.155J -- Spring Term 2005 Lecture 12 - Etch and Pattern Transfer I (Wet Etch) 1.

Etching and Pattern Transfer (1) OUTLINE. 6.152J / 3.155J -- Spring Term 2005 Lecture 12 - Etch and Pattern Transfer I (Wet Etch) 1. 6.15JST05.Lecture1-1 1 Etching and Pattern Transer (1) OUTLINE Basic Concepts o Etching Wet Etching Speciic Wet Etches Silicon Silicon Dioxide Aluminum Dry (Plasma) Etch eview o Plasmas eading Assignment:

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

Vacuum Pumping of Large Vessels and Modelling of Extended UHV Systems

Vacuum Pumping of Large Vessels and Modelling of Extended UHV Systems Vacuum Pumping of Large Vessels and Modelling of Extended UHV Systems Georgy L. Saksaganski D.V. Efremov Institute, St Petersburg, Russia gruss@niiefa.spb.su An overview of the methods for reducing of

More information

Selective Soldering Defects and How to Prevent Them

Selective Soldering Defects and How to Prevent Them Selective Soldering Defects and How to Prevent Them Gerjan Diepstraten Vitronics Soltec BV Introduction Two major issues affecting the soldering process today are the conversion to lead-free soldering

More information

Plasma Cleaner: Physics of Plasma

Plasma Cleaner: Physics of Plasma Plasma Cleaner: Physics of Plasma Nature of Plasma A plasma is a partially ionized gas consisting of electrons, ions and neutral atoms or molecules The plasma electrons are at a much higher temperatures

More information

Graphene a material for the future

Graphene a material for the future Graphene a material for the future by Olav Thorsen What is graphene? What is graphene? Simply put, it is a thin layer of pure carbon What is graphene? Simply put, it is a thin layer of pure carbon It has

More information

italtec PRINTED CIRCUITS EQUIPMENT PRINTED CIRCUITS EQUIPMENT Insulator machines Echting machines Special equipment and machines

italtec PRINTED CIRCUITS EQUIPMENT PRINTED CIRCUITS EQUIPMENT Insulator machines Echting machines Special equipment and machines PRINTED CIRCUITS EQUIPMENT PRINTED CIRCUITS EQUIPMENT Insulator machines Echting machines Special equipment and machines On customer request it is possible to supply: Benches for PCB Oven for PCB Chemicals

More information

How do single crystals differ from polycrystalline samples? Why would one go to the effort of growing a single crystal?

How do single crystals differ from polycrystalline samples? Why would one go to the effort of growing a single crystal? Crystal Growth How do single crystals differ from polycrystalline samples? Single crystal specimens maintain translational symmetry over macroscopic distances (crystal dimensions are typically 0.1 mm 10

More information

Flex Circuit Design and Manufacture.

Flex Circuit Design and Manufacture. Flex Circuit Design and Manufacture. Hawarden Industrial Park, Manor Lane, Deeside, Flintshire, CH5 3QZ Tel 01244 520510 Fax 01244 520721 Sales@merlincircuit.co.uk www.merlincircuit.co.uk Flex Circuit

More information

Development of High-Speed High-Precision Cooling Plate

Development of High-Speed High-Precision Cooling Plate Hironori Akiba Satoshi Fukuhara Ken-ichi Bandou Hidetoshi Fukuda As the thinning of semiconductor device progresses more remarkably than before, uniformity within silicon wafer comes to be strongly required

More information

The CVD diamond booklet

The CVD diamond booklet available at: www.diamond-materials.com/download Content 1. General properties of diamond... 2 2. Optical Properties... 4 Optical transparency...4 Absorption coefficient at 10.6 µm...5 Refractive index:

More information

PHYSISORPTION DETERMINATIONS

PHYSISORPTION DETERMINATIONS G A S S O R P T I O N A N A L Y S I S PHYSISORPTION DETERMINATIONS Most atoms that make up a solid are bound on all sides by other atoms in the bulk of the solid. The atoms on the surface of the solid,

More information