JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

Size: px
Start display at page:

Download "JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius"

Transcription

1 JePPIX Course Processing Wet and dry etching processes Huub Ambrosius

2 Material removal: etching processes Etching is done either in dry or wet methods: Wet etching uses liquid etchants with wafers immersed in etchant solution. Wet etch is cheap and simple, but hard to control (not reproducible), not popular for nanofabrication for pattern transfer purpose. Dry etch uses gas phase etchants in plasma, both chemical and physical (sputtering process). Dry plasma etch works for many dielectric materials and some metals (Al, Ti, Cr, Ta, W ). For other metals, ion milling (Ar + ) can be used, but with low etching selectivity. (as a result, for metals that cannot be dry-etched, it is better to pattern them using liftoff) Etching is consisted of 3 processes: Mass transport of reactants (through a boundary layer) to the surface to be etched. Reaction between reactants and the film to be etched at the surface. Mass transport of reaction products from the surface through the surface boundary layer. Figures of merit: etch rate, etch rate uniformity, selectivity, and anisotropy. 2

3 Figures of merit: selectivity Etching selectivity: The ratio of etching rate between different materials, usually the higher the better. Generally, chemical etching has high selectivity, physical etching (sputtering, milling) has low selectivity. For fabrication, the selectivity is usually between film material and mask material, and is defined by S fm. (f: film; m: mask) Temperature affects selectivity 3 Etching with mask erosion

4 Selective over-etch of different materials The film is etched through to the bottom, plus over-etch to etch laterally for under-cut profile. 4

5 Figures of merit: anisotropy Isotropic: etch rate is the same along all directions. Anisotropic: etch rate depends on direction, usually vertical vs. horizontal. For isotropic, R I =1. For complete anisotropic, R I =0. CD: 5 critical dimension

6 Figures of merit: anisotropy Generally speaking, chemical process (wet etch, plasma etch) leads to isotropic etch; whereas physical process (directional energetic bombardment) leads to anisotropic etch. Isotropic: Best to use with large features when sidewall slope does not matter, and to undercut the mask (for easy liftoff). Large critical dimension (CD, i.e. feature size) loss, generally not for nano-fabrication. Quick, easy, and cheap. Anisotropic: Best for making small features with vertical sidewalls, preferred pattern transfer method for nano-fabrication and some micro-fabrication. Typically more costly. 6

7 Etching The reverse of Epitaxy Etching = Removal of Material SiCl 4 + H 2 <--> SiHCl 3 + HCl; SiHCl 3 + H 2 <--> SiH 2 Cl 2 + HCl; SiH 2 Cl 2 <--> SiCl 2 + H 2 ; SiHCl 3 <--> SiCl 2 + HCl; SiCl 2 + H 2 <--> Si + 2HCl Etching reaction: SiCl 4 (g) + Si(s) <-- > 2 SiCl 2 (g) Effect of SiCl 4 concentration on silicon Epitaxial Growth PAGE 7

8 Wet chemical etching Extensively used for: Clean surface before processes like epitaxy Controlled removal of material through lithographically defines masks PAGE 8

9 Etch Rate Surface reaction limited etch process: Etch rate, R, depends on temperature: R = Kexp(-E a /kt) Material transport (through diffusion) limited etch process: Etch rate depends on agitation or stirring Sometimes both reaction rate and diffusion contribute Reaction limited etching is preferred PAGE 9

10 Silicon etching as example Step one: Oxidation - Si + 4HNO 3 SiO H 2 O + 4NO 2 Step two: Oxide dissolution - SiO 2 + 6HF H 2 SiF 6 + 2H 2 O Use a mixture of nitric acid and hydrofluoric acid, dilute it with water or acetic acid PAGE 10

11 Orientation dependent Si Etching More bonds to break gives a slower etch rate in some etchants (or etch solutions) - (111) slowest = most dense, most bonds - (110) - (100) fastest = most sparse, fewer bonds Orientation dependent etchant for Si: KOH: water: Isopropyl alcohol Strong orientation dependence can be used to fabricate submicron device structures Orientation dependent etching: a) Through window patterns on <100> oriented Silicon. b) Through window patterns on <110> oriented Silicon PAGE 11

12 Wet Chemical Etching In III-V: Polarity along [111] (111)B (111)A Si vs III-V PAGE 12

13 III-V materials etching Oxidation ( or reduction ) of semiconductor surface - H 2 PO 4, HNO 3, H 2 SO 4, HCl Removal of soluble reaction product - H 2 O 2 Dilute the etch solution with - H 2 O Extensively used etching systems - GaAs: H 2 SO 4 : H 2 O 2 and H 3 PO 4 : H 2 O 2 : H 2 O - InP: HCl : H 2 O Selective etching: - H 2 SO 4 : H 2 O 2 : H 2 O etches InGaAs and InGaAsP but not InP - HCl : H 2 O etches InP but not InGaAs and InGaAsP PAGE 13

14 Limitations of wet etching Wet etching tends to be isotropic (etches all directions) this causes undercuts (b) Not very suitable for small pattern transfer (roughly minimum pattern around 2 µm) Use dry etching (plasma etching) for small pattern transfer (c) PAGE 14

15 Wet Chemical Etching diffusion-limited or mass-transportlimited etching Stirring reaction-rate-limited, surface-limited, or kinetically-limited etching Stirring 1. Diffusion of etching species to the surface 2. Chemical reaction 3. Diffusion of etching products out of the surface PAGE 15

16 Wet Chemical Etching Principle of etching 1. oxidising the semiconductor 2. Forming a complex (with acid or alkali) 3. dissolving the complex in the solvent Etching GaAs: H 2 O 2 /H 2 SO 4 /H 2 O H 2 O 2 /H 3 PO 4 /CH 3 OH H 2 O 2 can not oxidise InP (too low potential) Etching InP: Etching InGaAsP: H 3 PO 4 / HCl H 2 O 2 /H 2 SO 4 /H 2 O PAGE 16

17 Wet Chemical Etching (110) Anisotropic Etching / Crystal Planes Resist Mask A (Ga) B (As) A (Ga) B (As) (110) Etch-Rate {111}B > Etch-Rate {100} > Etch-Rate {111}A PAGE 17

18 Wet Chemical Etching In III-V: Polarity along [111] (111)B (111)A Si vs III-V PAGE 18

19 Wet Chemical Etching (111)B or (111)As (111)A or (111)Ga Element III (Ga or In) has no available electrons; hence difficult to remove As has available electrons; hence chemically active In III-V chemical etching along plane (111)A is slower than etching along plane (111)B. Asymmetric charge distribution along [111] in III-V (polarisation). PAGE 19

20 Wet Chemical Etching Stripe // [011] Stripe // [011] PAGE 20

21 Common Planes and Axes C B A H Angle OCH = Arc tg (1 2 a)/a = PAGE 21

22 Wet Chemical Etching Stripe // [011] Stripe // [011] Etching InP with Br 2 :CH 3 COOH, 1 min at 25 C 4% Br 2 2%Br 2 Ref: Adachi et al., J. Electrochem. Soc. June 1981, P PAGE 22

23 Wet Chemical Etching Stripe // [011] Plane (112) Stripe // [011] Etching InP with H 3 PO 4 :HCl (4:1) Selective etching of InP towards Q PAGE 23

24 Wet Chemical Etching Etching InP with HCl:H 2 O (4:1) at RT Along [011] self-limiting at (112) plane Along [011], etch rate ~5 µm/min PAGE 24

25 Wet Chemical Etching Suspended membrane: Etching InP with HCl:H 2 O (4:1) at 2 C Along [011] Along [011] PAGE 25

26 InP Wet Etching InP can be etched using HCl:H 3 PO 4 (1:4) Etch rate of InP: ~ 1 µm/min at RT This etch is selective towards Quaternary. Masking: photoresist, SiO x or SiN x HCl:H 3 PO 4 PAGE 26

27 InGaAs and InGaAsP Wet Etching InGaAs, and InGaAsP can be etched using a solution of H 2 O 2 :H 2 SO 4 :H 2 O (1:1:10) Preparation of the solution Add H 2 SO 4 to H 2 O, wait till the solution cools down, add H 2 O 2 Ech rate of Q1.3» 150 nm/min at RT Etch rate of Q 1.1» 900 nm/min at RT Etch rate of InGaAs» 900 nm/min. There is very little etching of InP Masking: photoresist, SiO x or SiN x PAGE 27

28 Reactive Ion Etching Capacitive RF discharge in a parallel plate reactor DC bias (ion energy) is a result of RF power, pressure and gas feedstock PAGE 28

29 Reactive Ion Etcher at PAGE 29

30 Reactive Ion Etching Isotropic Etch Ion bombardment leads to anisotropic etch Role of sidewall passivating films in anisotropic etch Less anisotropy due to mask etching PAGE 30

31 Reactive Ion Etching Chemical etching Ion-radical synergism Physical etching Si etch rate (nm/min) Time (s) Role of ions in reactive ion etching: Tenfold increase of etching rate with XeF 2 +Ar as compared to XeF 2 or Ar alone From: Coburn and Winters, J. Appl. Phys. 50, 3189 (1979); Surf. Sci. Rep. 14, 162 (1992). PAGE 31

32 Reactive ion etching PAGE 32

33 CH 4 -H 2 Reactive Ion Etching CH 4 -H 2 RIE a combination of processes Chemical: InP + 3 CH 4 **= In(CH 3 ) 3 + PH 3 CH 4 **= CH 3, CH 2, CH, H radicals and ions Reaction needs activation-energy E act ~(½ mv 2 ) Physical: Ion bombardment by accelerated Ions Not selective (½ mv 2 ) ~ bias voltage Introduce (surface) roughness Substrate temperature increases > 100 o C Polymer deposition: x CH 4 = CH 3 - (CH 2 ) x-2 - CH 3 + x H 2 ++ high selectively for any masking material Anisotropy by sidewall protection by polymer Sidewall roughness due to polymer deposition Trade off between anisotropy and optical loss. PAGE 33

34 Influence of Polymer Influence of descum CH 4 -H 2 etching for 30 min CH 4 -H 2 - etching + O 2 -descum PAGE 34

35 CH 4 -H 2 Reactive Ion Etching Polymer deposition on electrodes stops the process Bottom electrode: Quartz plate with 2 inch InP-substrate and deposited polymer Top electrode with deposited polymer Process to clean the electrodes (O 2 + CHF 3 ) PAGE 35

36 Size Evolution Sidewall angle and descumming Etching only Increased descumming time PAGE 36

37 Shallow and deep waveguides Shallow etching PAGE 37 Deep etching High-contrast

38 Shallow and deep waveguides Double-Etch step RIE CH 4 /H 2 : 20/80 sccm, 220W, 60mTorr, 2 min O 2 : 100 sccm, 220W, 100mTorr, 4 sec Typical losses of 3µm RWG on undoped structures: db/cm (shallow) and 2-3 db/cm (deep) PAGE 38

39 Definition of all waveguides 50nm SiN x masking layer PAGE 39

40 Patterning area for deep etching (HPR) + 1 st RIE HPR photo-resist PAGE 40

41 Remove resist + 2 nd RIE PAGE 41

42 Litho + 3 rd RIE for isolation etch (if required) PAGE 42

43 High Density Plasma High density plasma sources with plasma production spatially separated from substrate zone Inductively coupled plasma (ICP) Microwave (MW) plasma Expanding thermal plasma (ETP) PAGE 43

44 ICP etch tool in Clean room PAGE 44

45 High Density Plasma Rf diode Operating conditions High density Pressure p Gas flow Φ Power P Frequency f Pa sccm W MHz (50 khz-50 MHz) Capacitive coupling Plasma parameters 1-10 Pa sccm W MHz Inductively coupling/ wave-heated Electron density n e Electron temperature T e Ion acceleration energy E i Ionization degree Rf diode m -3 3 ev (1-5 ev) ev PAGE 45 High density m ev ev

46 ICP for Deep Etching DBR gratings Chemistry: Cl 2 :Ar:H 2 ICP plasma PAGE 46

47 2a- Integrated laser + DBR Gratings Double etching process: shallow for laser and deep for DBR grating Shallow etched SOA area Deeply etched DBR area PAGE 47

48 2a- Integrated laser + DBR Gratings 3 rd order DBR grating PAGE 48

49 2a- Integrated laser + DBR Gratings Double etch after planarization with BCB Deep etch: > 5 µm deep Shallow etch: > 1.8 µm deep PAGE 49

50 ICP for Deep Etching Photonic Crystals Pillars Chemistry: Cl 2 :Ar:H 2 ICP plasma PAGE 50

51 2b- PhC Pillars Cl 2 :Ar:H 2 (7:4:12sccm), ICP=1000W, RF140W, 4 mtorr, 200 C, 2min using Cr-lift-off with PMMA on 430nm SiO x PAGE 51

52 2b- PhC Pillars Cl 2 :Ar:H 2 (7:4:12sccm), ICP=1000W, RF140W, 4 mtorr, 200 C, 2min using Cr-lift-off with PMMA on 430nm SiO x PAGE 52

53 ICP for Deep Etching ZEP/Cr/SiO x (320/50/500nm) Etching: 1min 40sec ICP Cl 2 :O 2 (14:2 sccm) 1000W ICP 160W RF 1.2 mtorr, 200ºC PhC Holes Hole 160nm Aspect ratio: >18 PAGE 53

54 ICP for Deep Etching Well defined Patterns and very smooth morphology PAGE 54

55 Criteria for Deep Etching in 1D and 2D PhC q Anisotropy of the etching process q Suitability of used chemistry q Suitability of used mask v Etching selectivity between InP/Q vs mask v Mask thickness limitation Capability of opening the mask using optical of E-beam lithography PAGE 55

56 RIE Lag Effect RIE lag effect: the narrower the trench the lower the etch depth PAGE 56

57 RIE Lag Effect Stripe Width (nm) Depth (µm) ICP-RIE Lag Effect Etch Depth (µm) Stripe Width (nm) PAGE 57

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Etching and Pattern Transfer (1) OUTLINE. 6.152J / 3.155J -- Spring Term 2005 Lecture 12 - Etch and Pattern Transfer I (Wet Etch) 1.

Etching and Pattern Transfer (1) OUTLINE. 6.152J / 3.155J -- Spring Term 2005 Lecture 12 - Etch and Pattern Transfer I (Wet Etch) 1. 6.15JST05.Lecture1-1 1 Etching and Pattern Transer (1) OUTLINE Basic Concepts o Etching Wet Etching Speciic Wet Etches Silicon Silicon Dioxide Aluminum Dry (Plasma) Etch eview o Plasmas eading Assignment:

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-527: MicroFabrication Wet Etching Outline Isotropic Si etching Anisotropic Si etching Anisotropic GaAs etching Isotropic etching of SiO 2, Al, and Cr General features of wet chemical etching Selective

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Dry Etch Process Application Note

Dry Etch Process Application Note G-106-0405 pplication ulletin Dry Etch Process pplication Note nthony Ricci Etch Process Overview The etching process removes selected areas from wafer substrates. The two types of etching processes used

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Plasma Etching ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING. Plasma Etching. Dr. Lynn Fuller. http://people.rit.

Plasma Etching ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING. Plasma Etching. Dr. Lynn Fuller. http://people.rit. ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Plasma Etching Dr. Lynn Fuller http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

T.M.M. TEKNIKER MICROMACHINING

T.M.M. TEKNIKER MICROMACHINING T.M.M. TEKNIKER MICROMACHINING Micro and Nanotechnology Dapartment FUNDACION TEKNIKER Avda. Otaola. 20 Tel. +34 943 206744 Fax. +34 943 202757 20600 Eibar http://www.tekniker.es TMM FACILITIES -Clean Room

More information

3.1 Etching wet etching, micromachining, dry etching, applications, diagnostics and end point detection, challenges in dry etching

3.1 Etching wet etching, micromachining, dry etching, applications, diagnostics and end point detection, challenges in dry etching 3.0 Etching and Chemo-Mechanical Polishing 3.1 Etching wet etching, micromachining, dry etching, applications, diagnostics and end point detection, challenges in dry etching 3.2 Chemo-Mechanical Polishing

More information

Chemical Reactions During Wet-Etching Process of LSMO/PZT/LSMO-Structured Device Fabrication

Chemical Reactions During Wet-Etching Process of LSMO/PZT/LSMO-Structured Device Fabrication Ferroelectrics, 380:1, 97-101, 2009 Reprints available directly from the publisher DOI: 10.1080/00150190902873295 UR L: http://dx.doi.org/10.1080/00150190902873295 2009 Taylor & Francis ISSN: 0015-0193

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Micro-Power Generation

Micro-Power Generation Micro-Power Generation Elizabeth K. Reilly February 21, 2007 TAC-meeting 1 Energy Scavenging for Wireless Sensors Enabling Wireless Sensor Networks: Ambient energy source Piezoelectric transducer technology

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob Chemical Sputtering von Kohlenstoff durch Wasserstoff W. Jacob Centre for Interdisciplinary Plasma Science Max-Planck-Institut für Plasmaphysik, 85748 Garching Content: Definitions: Chemical erosion, physical

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 16, No. 2, pp. 90-94, April 25, 2015 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2015.16.2.90 OAK Central:

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Electron Beam and Sputter Deposition Choosing Process Parameters

Electron Beam and Sputter Deposition Choosing Process Parameters Electron Beam and Sputter Deposition Choosing Process Parameters General Introduction The choice of process parameters for any process is determined not only by the physics and/or chemistry of the process,

More information

IMA. Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer Bauelemente. Sören Irmer

IMA. Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer Bauelemente. Sören Irmer Sören Irmer Workshop "Oberflächentechnologie mit Plasma- und Ionenstrahlprozessen - Mühlleithen, 02. März 2004 Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

Process Diagnostics of Industrial Plasma Systems

Process Diagnostics of Industrial Plasma Systems Process Diagnostics of Industrial Plasma Systems A thesis for the degree of PHILOSOPHIAE DOCTOR Presented to Dublin City University By Niall Mac Gearailt B.Eng. Faculty of Engineering and Computing Dublin

More information

Solid State Detectors = Semi-Conductor based Detectors

Solid State Detectors = Semi-Conductor based Detectors Solid State Detectors = Semi-Conductor based Detectors Materials and their properties Energy bands and electronic structure Charge transport and conductivity Boundaries: the p-n junction Charge collection

More information

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING Gim S. Chen, Ismail Kashkoush, and Rich E. Novak AKrion LLC 633 Hedgewood Drive, #15 Allentown, PA 1816, USA ABSTRACT Ozone-based HF chemistry

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

LOR and PMGI Resists. Figure 1: SFG2 w/tok Resist 80 nm line. Figure 2: PMGI w/spr 660 0.6 3 um line. Figure 3: LOR 30B w/spr 220 4.

LOR and PMGI Resists. Figure 1: SFG2 w/tok Resist 80 nm line. Figure 2: PMGI w/spr 660 0.6 3 um line. Figure 3: LOR 30B w/spr 220 4. LOR and PMGI Resists DESCRIPTION LOR and PMGI resists are based on polydimethylglutarimide. Its unique properties enable LOR and PMGI products to perform exceptionally well when used, either as a sacrificial

More information

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Songlin Xu, a Ce Qin, Li Diao, Dave Gilbert, Li Hou, and Allan Wiesnoski Mattson Technology, Inc., Fremont,

More information

Lift-off Electrolytic growth Etching transfer techniques Wet chemical etching Ion Beam Etching Reactive Ion Etching Reactive Ion Beam Etching

Lift-off Electrolytic growth Etching transfer techniques Wet chemical etching Ion Beam Etching Reactive Ion Etching Reactive Ion Beam Etching Transfer techniques Transfer techniques Lift-off Electrolytic growth Etching transfer techniques Wet chemical etching Ion Beam Etching Reactive Ion Etching Reactive Ion Beam Etching Chemical Ion Beam Etching

More information

Fabrication and characterization of dry and wet etched InGaAs/InGaAsP/InP long wavelength semiconductor lasers

Fabrication and characterization of dry and wet etched InGaAs/InGaAsP/InP long wavelength semiconductor lasers Fabrication and characterization of dry and wet etched InGaAs/InGaAsP/InP long wavelength semiconductor lasers Bulent Cakmak Ataturk University, Faculty of Engineering, Department of Electronics and Telecommunication

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

CRYSTAL DEFECTS: Point defects

CRYSTAL DEFECTS: Point defects CRYSTAL DEFECTS: Point defects Figure 10.15. Point defects. (a) Substitutional impurity. (b) Interstitial impurity. (c) Lattice vacancy. (d) Frenkeltype defect. 9 10/11/004 Ettore Vittone- Fisica dei Semiconduttori

More information

Lecture 2 - Semiconductor Physics (I) September 13, 2005

Lecture 2 - Semiconductor Physics (I) September 13, 2005 6.012 - Microelectronic Devices and Circuits - Fall 2005 Lecture 2-1 Lecture 2 - Semiconductor Physics (I) September 13, 2005 Contents: 1. Silicon bond model: electrons and holes 2. Generation and recombination

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie Recent developments in high bandwidth optical interconnects Brian Corbett Outline Introduction to photonics for interconnections Polymeric waveguides and the Firefly project Silicon on insulator (SOI)

More information

Electroplating with Photoresist Masks

Electroplating with Photoresist Masks Electroplating with Photoresist Masks Revised: 2014-01-17 Source: www.microchemicals.com/downloads/application_notes.html Electroplating - Basic Requirements on the Photoresist Electroplating with photoresist

More information

Chapter 5: Diffusion. 5.1 Steady-State Diffusion

Chapter 5: Diffusion. 5.1 Steady-State Diffusion : Diffusion Diffusion: the movement of particles in a solid from an area of high concentration to an area of low concentration, resulting in the uniform distribution of the substance Diffusion is process

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

1. PECVD in ORGANOSILICON FED PLASMAS

1. PECVD in ORGANOSILICON FED PLASMAS F. FRACASSI Department of Chemistry, University of Bari (Italy) Plasma Solution srl SURFACE MODIFICATION OF POLYMERS AND METALS WITH LOW TEMPERATURE PLASMA OUTLINE METAL TREATMENTS 1 low pressure PECVD

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

... chemical and physical Mechanisms

... chemical and physical Mechanisms Wet chemical Etching... chemical and physical Mechanisms revised 25. 11. 2005 This document aims for an understanding of the chemical and physical mechanism of wet etching, and hereby focuses on typical

More information

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology General Plasma, Inc. 546 East 25th Street Tucson, Arizona 85713 tel. 520-882-5100 fax. 520-882-5165 and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology M.

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Spectroscopic Ellipsometry:

Spectroscopic Ellipsometry: Spectroscopic : What it is, what it will do, and what it won t do by Harland G. Tompkins Introduction Fundamentals Anatomy of an ellipsometric spectrum Analysis of an ellipsometric spectrum What you can

More information

Observation of Long Transients in the Electrical Characterization of Thin Film BST Capacitors

Observation of Long Transients in the Electrical Characterization of Thin Film BST Capacitors Integrated Ferroelectrics, 53: 503 511, 2003 Copyright C Taylor & Francis Inc. ISSN: 1058-4587 print/ 1607-8489 online DOI: 10.1080/10584580390258651 Observation of Long Transients in the Electrical Characterization

More information

Robert G. Hunsperger. Integrated Optics. Theory and Technology. Fourth Edition. With 195 Figures and 17 Tables. Springer

Robert G. Hunsperger. Integrated Optics. Theory and Technology. Fourth Edition. With 195 Figures and 17 Tables. Springer Robert G. Hunsperger Integrated Optics Theory and Technology Fourth Edition With 195 Figures and 17 Tables Springer Contents 1. Introduction 1 1.1 Advantages of Integrated Optics 2 1.1.1 Comparison of

More information

Methods of plasma generation and plasma sources

Methods of plasma generation and plasma sources Methods of plasma generation and plasma sources PlasTEP trainings course and Summer school 2011 Warsaw/Szczecin Indrek Jõgi, University of Tartu Partfinanced by the European Union (European Regional Development

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

MOS (metal-oxidesemiconductor) 李 2003/12/19

MOS (metal-oxidesemiconductor) 李 2003/12/19 MOS (metal-oxidesemiconductor) 李 2003/12/19 Outline Structure Ideal MOS The surface depletion region Ideal MOS curves The SiO 2 -Si MOS diode (real case) Structure A basic MOS consisting of three layers.

More information

Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration

Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration M G Kibria, F Zhang, T H Lee, M J Kim and M M R Howlader Dept. Electrical and Computer Engineering,

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

Reactive Sputtering Using a Dual-Anode Magnetron System

Reactive Sputtering Using a Dual-Anode Magnetron System Reactive Sputtering Using a Dual-Anode Magnetron System A. Belkind and Z. Zhao, Stevens Institute of Technology, Hoboken, NJ; and D. Carter, G. McDonough, G. Roche, and R. Scholl, Advanced Energy Industries,

More information

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2 Solid State Phenomena Vol. 134 (2008) pp 359-362 Online available since 2007/Nov/20 at www.scientific.net (2008) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.134.359 Metal Hard

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson What s New in Lithography? Wafer dimensions are still accelerating downward towards ever smaller features

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators Dr Peter Hockley and Professor Mike Thwaites, Plasma Quest Limited

More information

ACTIVATION ENERGY: AN ELEMENTARY STUDY IN THE MATRIX ISOTROPIC ETCHER.

ACTIVATION ENERGY: AN ELEMENTARY STUDY IN THE MATRIX ISOTROPIC ETCHER. SiO2 ACTIVATION ENERGY: AN ELEMENTARY STUDY IN THE MATRIX ISOTROPIC ETCHER. 1 A.J. BALLONI Fundação Centro Tecnológico para Informática Instituto de Microeletrônica Laboratório de Manufatura de Circuitos

More information

Resists, Developers and Removers

Resists, Developers and Removers Resists, Developers and Removers Revised: 20131107 Source: www.microchemicals.com/downloads/application_notes.html Positive, Negative, and Image Reversal Resists Positive resists Positive... Negative...

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Case Study 2: Digital Micromirror Devices (DMD) Optical MEMS

Case Study 2: Digital Micromirror Devices (DMD) Optical MEMS Case Study : Digital Micromirror Devices (DMD) Chapter of Senturia A MEMS-based projection display, Van Kessel, P.F.; Hornbeck, L.J.; Meier, R.E.; Douglass, M.R., Proc. IEEE, Vol. 86 pp.1687-174 1998 http://www.dlp.com/

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

Study on Wet Etching of AAO Template

Study on Wet Etching of AAO Template Study on Wet Etching of AAO Template Guofeng Hu, Haiming Zhang, Wenwen Di & Tingting Zhao School of Science, Tianjin Polytechnic University, Tianjin 300160, China E-mail: hugf2009@163.com Abstract The

More information

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons D.Monaghan, V. Bellido-Gonzalez, M. Audronis. B. Daniel Gencoa, Physics Rd, Liverpool, L24 9HP, UK. www.gencoa.com,

More information

Solvents: Theory and Application

Solvents: Theory and Application Solvents: Theory and Application Revised: 20131107 Source: www.microchemicals.com/downloads/application_notes.html Dissolving While etching breaks the intramolecular bonds of a solid state, solving describes

More information

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS Vojtěch SVATOŠ 1, Jana DRBOHLAVOVÁ 1, Marian MÁRIK 1, Jan PEKÁREK 1, Jana CHOMOCKÁ 1,

More information

Deposition of Thin Metal Films " (on Polymer Substrates)!

Deposition of Thin Metal Films  (on Polymer Substrates)! Deposition of Thin Metal Films " (on Polymer Substrates)! Shefford P. Baker! Cornell University! Department of Materials Science and Engineering! Ithaca, New York, 14853! MS&E 5420 Flexible Electronics,

More information

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4 Wafer Manufacturing Reading Assignments: Plummer, Chap 3.1~3.4 1 Periodic Table Roman letters give valence of the Elements 2 Why Silicon? First transistor, Shockley, Bardeen, Brattain1947 Made by Germanium

More information

Chapter 5. Second Edition ( 2001 McGraw-Hill) 5.6 Doped GaAs. Solution

Chapter 5. Second Edition ( 2001 McGraw-Hill) 5.6 Doped GaAs. Solution Chapter 5 5.6 Doped GaAs Consider the GaAs crystal at 300 K. a. Calculate the intrinsic conductivity and resistivity. Second Edition ( 2001 McGraw-Hill) b. In a sample containing only 10 15 cm -3 ionized

More information

Chemical dry etching of silicon nitride and silicon dioxide using CF 4 /O 2 /N 2 gas mixtures

Chemical dry etching of silicon nitride and silicon dioxide using CF 4 /O 2 /N 2 gas mixtures Chemical dry etching of silicon nitride and silicon dioxide using CF 4 /O 2 /N 2 gas mixtures B. E. E. Kastenmeier, a) P. J. Matsuo, J. J. Beulens, and G. S. Oehrlein b) Department of Physics, The University

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/1162193/dc1 Supporting Online Material for Polymer Pen Lithography Fengwei Huo, Zijian Zheng, Gengfeng Zheng, Louise R. Giam, Hua Zhang, Chad A. Mirkin* *To whom correspondence

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

Oberflächenbearbeitung durch reaktive Ionenstrahlen

Oberflächenbearbeitung durch reaktive Ionenstrahlen Oberflächenbearbeitung durch reaktive Ionenstrahlen André Mießler, Thomas Arnold Leibniz-Institut für Oberflächenmodifizierung e. V. Permoserstr. 15, D-04318 Leipzig andre.miessler@iom-leipzig.de www.iom-leipzig.de

More information

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Kenji MAWATARI, Koich SAMESHIMA, Mitsuyoshi MIYAI, Shinya MATSUDA Abstract We developed a new inkjet head by applying MEMS

More information

Light management for photovoltaics. Ando Kuypers, TNO Program manager Solar

Light management for photovoltaics. Ando Kuypers, TNO Program manager Solar Light management for photovoltaics Ando Kuypers, TNO Program manager Solar Global energy consumption: 500 ExaJoule/Year Solar irradiation on earth sphere: 5.000.000 ExaJoule/year 2 Capturing 0,01% covers

More information

and LUMINOUS CHEMICAL VAPOR DEPOSITION INTERFACE ENGINEERING HirotsuguYasuda University of Missouri-Columbia Columbia, Missouri, U.S.A.

and LUMINOUS CHEMICAL VAPOR DEPOSITION INTERFACE ENGINEERING HirotsuguYasuda University of Missouri-Columbia Columbia, Missouri, U.S.A. LUMINOUS CHEMICAL VAPOR DEPOSITION and INTERFACE ENGINEERING HirotsuguYasuda University of Missouri-Columbia Columbia, Missouri, U.S.A. MARCEL MARCEL DEKKER. NEW YORK DEKKER Contents Preface iii Part I.

More information

Chapter 17. How are acids different from bases? Acid Physical properties. Base. Explaining the difference in properties of acids and bases

Chapter 17. How are acids different from bases? Acid Physical properties. Base. Explaining the difference in properties of acids and bases Chapter 17 Acids and Bases How are acids different from bases? Acid Physical properties Base Physical properties Tastes sour Tastes bitter Feels slippery or slimy Chemical properties Chemical properties

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

Figure 10.1. Process flow from starting material to polished wafer.

Figure 10.1. Process flow from starting material to polished wafer. Figure 10.1. Process flow from starting material to polished wafer. 1/11/003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XI 1 Starting material: silicon dioxide (SiO ): pure form of sand (quartzite)

More information

Silicon Wafer Solar Cells

Silicon Wafer Solar Cells Silicon Wafer Solar Cells Armin Aberle Solar Energy Research Institute of Singapore (SERIS) National University of Singapore (NUS) April 2009 1 1. PV Some background Photovoltaics (PV): Direct conversion

More information

Single Sided Wet Etching for Texturing, Thinning, and Packaging Applications

Single Sided Wet Etching for Texturing, Thinning, and Packaging Applications Single Sided Wet Etching for Texturing, Thinning, and Packaging Applications Ricardo I. Fuentes, Ph.D. Materials and Technologies Corp., (MATECH) Wappingers Falls, NY 12590 To Be Presented at IWLPC Conference,

More information

Loading effects in deep silicon etching

Loading effects in deep silicon etching Reprinted with permission from the publisher. In: Proceedings of SPIE 2000. Vol. 4174, pp. 90 97. International Society of Optical Engineering (SPIE) Loading effects in deep silicon etching Jani Karttunen

More information

Chem 1A Exam 2 Review Problems

Chem 1A Exam 2 Review Problems Chem 1A Exam 2 Review Problems 1. At 0.967 atm, the height of mercury in a barometer is 0.735 m. If the mercury were replaced with water, what height of water (in meters) would be supported at this pressure?

More information