Optimal Technology Mapping and Cell Merger for Asynchronous Threshold Networks

Size: px
Start display at page:

Download "Optimal Technology Mapping and Cell Merger for Asynchronous Threshold Networks"

Transcription

1 Optimal Technology Mapping and Cell Merger for Asynchronous Threshold Networks Cheoljoo Jeong Steven M. Nowick Department of Computer Science Columbia University

2 Outline Introduction Background Technology mapping Robust asynchronous threshold networks (NCL) NCL CAD flow Hazard issues: Orphans Motivational Examples Robustness & asynchronous technology mapping Robust Technology Mapping and Cell Merger Algorithms Experimental Results Near-complete DES encryption circuit ConcludingRemarks

3 Problem Definition Asynchronous Threshold Networks Robust asynchronous circuit that consists of threshold gates. Thresholdgates: Each input has a weight Fires when the weighted sum of inputs exceeds a threshold value. Cell Merger and Technology Mapping Problems Given: unoptimized robust netlist Produce: optimized robust netlist (consisting of library cells) Cell Merger Technology Mapping Input Unoptimized threshold network: robust Async technology library (characterized) Output Optimized cell-merged network: consists of library cells, preserves robustness Optimized mapped network: consists of library cells, preserves robustness

4 Related Work Technology Mapping for Asynchronous Circuits Siegel et al.: tech map for Burst-mode circuits Cortadella et al.: tech map for QDI control circuits Optimization of Asynchronous Threshold Circuits Smith et al.: a few local optimization techniques Theseus Logic: local cell merger P. Siegel et al. Automatic technology mapping for generalized fundamental-mode asynchronous designs. DAC Cortadella et al. Decomposition and technology mapping of speed-independent circuits. IEEE TCAD Smith et al. Optimization of NULL convention self-timed circuits. Integration

5 Summary of Results A robust technology mapping algorithm for asynchronous threshold networks is presented: First systematic approach to robust technology mapping Maps across both datapath and control circuits Maps sequential gates with hysteresis Targets either delay or area Integrates into existing asynchronous tool flow of Theseus Logic The cell merger problem formulated and solved: Limited special case of technology mapping Only adjacent cells in the given unoptimzed netlist can be merged Experimental Results: tech map Average output delay improvements: 2.9% Worst-case circuit delay improvements: 26.3% Average area improvements: 2.7%

6 Outline Introduction Background Technology mapping Robust asynchronous threshold networks (NCL) NCL CAD flow Hazard issues: Orphans Motivational Examples Robustness & asynchronous technology mapping Robust Technology Mapping and Cell Merger Algorithms Experimental Results Near-complete DES encryption circuit ConcludingRemarks

7 Technology Mapping Technology Mapping Task of transforming an technology-independent network into a bound network as an interconnection of library elements [Step 1] Decomposition [Step 2] Partitioning [DeMicheli94] G. De Micheli. Synthesis and Optimization of Digital Circuits (1994).

8 Technology Mapping (cont.) (after decomposition and partitioning; from prev. slide) [Step 3] Covering Subject graph matches to library cells Mapped circuit

9 Overview of Null Convention Logic NCL (Null Convention Logic) Robust asynchronous design style based on threshold networks Uses delay-insensitive encoding Uses four-phase signaling protocol: alternates evaluate and reset phases Asynchronous threshold gates with hysteresis property Industrial applications by Theseus Logic

10 NCL Asynchronous Commercial CAD Flow (Theseus Logic) VHDL specification uses synchronous Synopsys tool: front-end 3NCL circuit abstract multi-valued circuit dual-rail expansion 2NCL circuit instantiated Boolean circuit (robust, unoptimized) Theseus s template-based cell merger Robust NCL circuit only limited local optimizations currently used

11 Basics of NCL Circuits 3NCL Circuits: Abstract multi-valued threshold circuit Starting point for NCL synthesis flow 3NCL is a three-valued with {, 1, NULL} 3NCL circuits alternate between DATA and NULL phases During the DATA (Evaluate) phase: outputs have DATA values only after all inputs have DATA values During the NULL (Reset) phase: outputs have NULL values only after all inputs have NULL values. 3-valued inputs a b 3NCL OR gate z 3-valued output

12 Basics of NCL Circuits 3NCL Circuits: Abstract multi-valued threshold circuit Starting point for NCL synthesis flow 3NCL is a three-valued with {, 1, NULL} 3NCL circuits alternate between DATA and NULL phases During the DATA (Evaluate) phase: outputs have DATA values only after all inputs have DATA values During the NULL (Reset) phase: outputs have NULL values only after all inputs have NULL values. 3-valued inputs a b N N N z 3-valued output 3NCL OR gate

13 Basics of NCL Circuits 3NCL Circuits: Abstract multi-valued threshold circuit Starting point for NCL synthesis flow 3NCL is a three-valued with {, 1, NULL} 3NCL circuits alternate between DATA and NULL phases During the DATA (Evaluate) phase: outputs have DATA values only after all inputs have DATA values During the NULL (Reset) phase: outputs have NULL values only after all inputs have NULL values. 3-valued inputs a b 1 N N z 3-valued output 3NCL OR gate

14 Basics of NCL Circuits 3NCL Circuits: Abstract multi-valued threshold circuit Starting point for NCL synthesis flow 3NCL is a three-valued with {, 1, NULL} 3NCL circuits alternate between DATA and NULL phases During the DATA (Evaluate) phase: outputs have DATA values only after all inputs have DATA values During the NULL (Reset) phase: outputs have NULL values only after all inputs have NULL values. 3-valued inputs a b 1 1 z 3-valued output 3NCL OR gate

15 Basics of NCL Circuits 3NCL Circuits: Abstract multi-valued threshold circuit Starting point for NCL synthesis flow 3NCL is a three-valued with {, 1, NULL} 3NCL circuits alternate between DATA and NULL phases During the DATA (Evaluate) phase: outputs have DATA values only after all inputs have DATA values During the NULL (Reset) phase: outputs have NULL values only after all inputs have NULL values. 3-valued inputs a b N 1 z 3-valued output 3NCL OR gate

16 Basics of NCL Circuits 3NCL Circuits: Abstract multi-valued threshold circuit Starting point for NCL synthesis flow 3NCL is a three-valued with {, 1, NULL} 3NCL circuits alternate between DATA and NULL phases During the DATA (Evaluate) phase: outputs have DATA values only after all inputs have DATA values During the NULL (Reset) phase: outputs have NULL values only after all inputs have NULL values. 3-valued inputs a b N N N z 3-valued output 3NCL OR gate

17 Basics of NCL Circuits (cont.) Delay-Insensitive Encoding Single signal is represented by two wires (-rail and 1-rail) a dual-rail expansion a a 1 a 1 a a NULL Not allowed - Motivation: robust data communication

18 Basics of NCL Circuits (cont.) Transforming 3NCL to 2NCL Circuits 2NCL circuits = dual-rail implementation of 3NCL circuits Single 3NCL signal: is represented by two wires (-rail / 1-rail) Single 3NCL gate: expanded into small network of 2NCL gates 3-valued inputs 3-valued output dual-rail inputs dual-rail output a b z a b z z 1 a 1 b 1 3NCL OR gate 2NCL OR network

19 Basics of NCL Circuits (cont.) Structure of NCL Circuits: Single Pipeline Stage Asynchronous registration Asynchronous registration Completion detector Stage N-1 Stage N Stage N+1

20 Basics of NCL Circuits (cont.) Pipeline Operation: Four-Phase Signaling Protocol Stages alternate between DATA (Evaluate) and NULL (Reset) phases CD CD Async Register Async Register Stage N-1 Stage N Stage N+1

21 Basics of NCL Circuits (cont.) Pipeline Operation: Four-Phase Signaling Protocol Stages alternate between DATA (Evaluate) and NULL (Reset) phases CD CD Async Register Async Register Stage N-1 Stage N Stage N+1 DATA (Evaluate) phase

22 Basics of NCL Circuits (cont.) Pipeline Operation: Four-Phase Signaling Protocol Stages alternate between DATA (Evaluate) and NULL (Reset) phases CD CD Async Register Async Register Stage N-1 Stage N Stage N+1 DATA (Evaluate) phase

23 Basics of NCL Circuits (cont.) Pipeline Operation: Four-Phase Signaling Protocol Stages alternate between DATA (Evaluate) and NULL (Reset) phases CD CD Async Register Async Register Stage N-1 Stage N Stage N+1 DATA (Evaluate) phase

24 Basics of NCL Circuits (cont.) Pipeline Operation: Four-Phase Signaling Protocol Stages alternate between DATA (Evaluate) and NULL (Reset) phases CD CD Async Register Async Register Stage N-1 Stage N Stage N+1 DATA (Evaluate) phase

25 Basics of NCL Circuits (cont.) Pipeline Operation: Four-Phase Signaling Protocol Stages alternate between DATA (Evaluate) and NULL (Reset) phases CD CD Async Register Async Register 1 Stage N-1 Stage N Stage N+1 DATA (Evaluate) phase

26 Basics of NCL Circuits (cont.) Pipeline Operation: Four-Phase Signaling Protocol Stages alternate between DATA (Evaluate) and NULL (Reset) phases CD CD Async Register Async Register Stage N-1 Stage N Stage N+1 DATA (Evaluate) phase

27 Basics of NCL Circuits (cont.) Pipeline Operation: Four-Phase Signaling Protocol Stages alternate between DATA (Evaluate) and NULL (Reset) phases CD CD Async Register Async Register Stage N-1 Stage N Stage N+1 NULL (Reset) phase

28 Basics of NCL Circuits (cont.) Pipeline Operation: Four-Phase Signaling Protocol Stages alternate between DATA (Evaluate) and NULL (Reset) phases CD CD Async Register Async Register Stage N-1 Stage N Stage N+1 NULL (Reset) phase

29 Basics of NCL Circuits (cont.) Pipeline Operation: Four-Phase Signaling Protocol Stages alternate between DATA (Evaluate) and NULL (Reset) phases CD CD Async Register Async Register Stage N-1 Stage N Stage N+1 NULL (Reset) phase

30 Basics of NCL Circuits (cont.) Pipeline Operation: Four-Phase Signaling Protocol Stages alternate between DATA (Evaluate) and NULL (Reset) phases CD CD Async Register Async Register Stage N-1 Stage N Stage N+1 NULL (Reset) phase

31 Basics of NCL Circuits (cont.) Pipeline Operation: Four-Phase Signaling Protocol Stages alternate between DATA (Evaluate) and NULL (Reset) phases 1 Async Register CD Async Register CD Stage N-1 Stage N Stage N+1 NULL (Reset) phase

32 Basics of NCL Circuits (cont.) Pipeline Operation: Four-Phase Signaling Protocol Stages alternate between DATA (Evaluate) and NULL (Reset) phases 1 1 Async Register CD Async Register 1 CD Stage N-1 Stage N Stage N+1 NULL (Reset) phase

33 Industrial Applications of Null Convention Logic Theseus Logic, Inc.: asynchronous startup company Built asynchronous version of Motorola MCORE processor 18+ other chips designed and fabricated using NCL flow Five had over 15, transistors Largest one 66, transistors. Currently, NCL used in DARPA CLASS project (led by Boeing) Major new CAD initiative (with Philips, Theseus, Columbia, UNC, etc.) Developing commercially-viable asynchronous CAD flow Our proposed tech map/cell merger methods to be included in flow

34 Hazard Issues Delay-Insensitivity (= Delay Model) Assumes arbitrary gate and wire delay circuit operates correctly under all conditions Most robust design style Orphans : Hazards to Delay-Insensitivity Ineffective signal transition sequences (= unobservable paths) Wire orphans: timing requirements on wires at fanout points Gate orphans: timing requirements on paths at fanout points

35 Hazard Issues (cont.) Wire Orphan Example: primary outputs Wire orphan example In NCL flow, wire orphans are not a problem: eliminated by enforcing physical timing constraints

36 Hazard Issues (cont.) Wire Orphan Example: primary outputs Wire orphan example In NCL flow, wire orphans are not a problem: eliminated by enforcing physical timing constraints

37 Hazard Issues (cont.) Wire Orphan Example: primary outputs Wire orphan example In NCL flow, wire orphans are not a problem: eliminated by enforcing physical timing constraints

38 Hazard Issues (cont.) Wire Orphan Example: primary outputs wire orphan! Wire orphan example In NCL flow, wire orphans are not a problem: eliminated by enforcing physical timing constraints

39 Gate Orphan Example: Hazard Issues (cont.) a b z z 1 a 1 b 1 Gate orphan example In NCL flow, gate orphan freedom not guaranteed: must be avoided during synthesis

40 Gate Orphan Example: Hazard Issues (cont.) a b z z 1 a 1 b 1 Gate orphan example In NCL flow, gate orphan freedom not guaranteed: must be avoided during synthesis

41 Gate Orphan Example: Hazard Issues (cont.) a b z z 1 a 1 b 1 Gate orphan example In NCL flow, gate orphan freedom not guaranteed: must be avoided during synthesis

42 Gate Orphan Example: Hazard Issues (cont.) gate orphan! = not observable a b z z 1 a 1 b 1 Gate orphan example In NCL flow, gate orphan freedom not guaranteed: must be avoided during synthesis

43 Outline Introduction Background Technology mapping Robust asynchronous threshold networks (NCL) NCL CAD flow Hazard issues: Orphans Motivational Examples Robustness & asynchronous technology mapping Robust Technology Mapping and Cell Merger Algorithms Experimental Results Near-complete DES encryption circuit ConcludingRemarks

44 Motivational Examples: Challenges to Robust Technology Mapping Arbitrary decomposition can be dangerous (= non-robust). a b c 3-input AND gate a b c decomposition into two 2-input AND gates CONCLUSION: must carefully restrict decomposition to ensure robustness

45 Motivational Examples: Challenges to Robust Technology Mapping Arbitrary decomposition can be dangerous (= non-robust). gate orphan! a b c 3-input AND gate a b c decomposition into two 2-input AND gates CONCLUSION: must carefully restrict decomposition to ensure robustness

46 Motivational Examples : Challenges to Robust Technology Mapping DAG (Directed Acyclic Graph)-based covering can be dangerous. a z a b c d z w b c d w subject graph = DAG mapped network CONCLUSION: must avoid arbitrary DAG-covering for robustness

47 Outline Introduction Background Technology mapping Robust asynchronous threshold networks (NCL) NCL CAD flow Hazard issues: Orphans Motivational Examples Robustness & asynchronous technology mapping Robust Technology Mapping and Cell Merger Algorithms Experimental Results Near-complete DES encryption circuit ConcludingRemarks

48 Cell Merger Algorithm The Cell Merger Problem Input: unoptimized robust netlist Output: optimized robust netlist (consists of library cells) Solved as limited special case of technology mapping Only adjacent cells in the given unoptimized netlist can be merged Overview of the Proposed Algorithm No decomposition (NEW) Every cell function is a base function Original netlist is subject graph Partitioning (use existing techniques) Pattern graph generation (NEW) New bottom-up approach proposed Matching and covering (use existing techniques)

49 Pattern Graph Generation Overview of Pattern Graph Generation Iteratively generates all pattern graphs in single bottom-up approach Generates: two-cell merger, three-cell merger (up to four-cell mergers) Includes all single-cell pattern graphs: may be useful for matching Merges cell functions rather than cells themselves Single cell function may represent multiple cells Examples: Two-cell merger: merging AND2 and OR2 Three-cell merger: merging AND2 and OR2

50 Matching and Covering Matching and Covering Uses traditional synchronous approach (with dynamic programming) Targets either area or delay minimization Delay Minimization Nonlinear delay model used matches to library cells based on table-lookup uses load binning to handle load-dependent delays alternative approach: use load-independent delay model

51 Technology Mapping Algorithm The Technology Mapping Problem Input: unoptimized robust netlist Output: optimized robust netlist (consists of library cells) More general approach Allows more general mapping to library cells Overview of the Proposed Algorithm Gate-orphan-free decomposition (NEW) Decompose original netlist into gate-orphan-free netlist Partitioning (use existing techniques) Pattern graph generation (NEW) Use new positive monotonic finite basis (= AND2/OR2) vs. synchronous approaches (= NAND2/INV) Must include some complex irreducible nodes Matching and covering (use existing techniques)

52 Gate-Orphan-Free Decomposition Basic Idea Decompose each node using simple base functions new monotonic basis proposed = AND2/OR2 safe as long as no gate orphans introduced If no such guarantee: node not decomposed: the node function is registered as new complex base function (irreducible) Overview of Gate-Orphan-Free Decomposition AND2 and OR2 gates: not decomposed = primitive base functions Large OR cells: safely decomposed into network of OR2 cells Large AND cells: not decomposed -- decomposition unsafe Existing (Theseus) local cell merger optimizations undone through reverse lookup table Otherwise, do not decompose nodes -- decomposition unsafe

53 Pattern Graph Generation After decomposition and partitioning, subject graphs consist of: simple base functions (AND2/OR2) complex base functions (irreducible) Overview of Pattern Graph Generation: Library cell functions: decomposed using simple finite basis. If library cell function = complex base function, then: special pattern graph is added = single irreducible node

54 Matching and Covering Matching and Covering Uses traditional synchronous approach (with dynamic programming) Targets either area or delay minimization Delay Minimization Nonlinear delay model used matches to library cells based on table-lookup uses load binning to handle load-dependent delays alternative approach: use load-independent delay model

55 Outline Introduction Background Technology mapping Robust asynchronous threshold networks (NCL) NCL CAD flow Hazard issues: Orphans Motivational Examples Robustness & asynchronous technology mapping Robust Technology Mapping and Cell Merger Algorithms Experimental Results Near-complete DES encryption circuit ConcludingRemarks

56 Experimental Results (cont.) Results for Cell Merger Area-optimized circuit Delay-optimized circuit Name #i/#o/#g Area Delay Area Delay des-r1 352/64/179 1.% 1.2% 11.9% 87.4% des-r2 11/4/1 95.7% 95.3% 1.% 73.8% des-r3 59/298/ % 1.% 14.1% 83.5% des-r4 59/36/ % 1.% 12.1% 83.6% des-r5 3/2/4 75.3% 8.5% 83.4% 57.5% Average improvement 99.9% 99.9% 13.2% 83.7%

57 Experimental Results Results for Technology Mapping Area-optimized circuit Delay-optimized circuit Name #i/#o/#g Area Delay Area Delay des-r1 352/64/ % 1.2% 11.7% 73.3% des-r2 11/4/1 95.7% 95.3% 155.9% 71.% des-r3 59/298/ % 1.3% 122.6% 79.7% des-r4 59/36/ % 1.3% 122.3% 8.% des-r5 3/2/4 75.% 8.5% 83.3% 57.5% Average improvement 97.3% 1.2% 12.4% 79.1%

58 Experimental Results (cont.) Delay Optimization Avg. improvement for worst-case output delay Considers single worst-case path for each individual output Cell Merger: 16.3% Tech map:2.9% Avg. improvement for worst-case circuit delay Considers the single worst-case path in entire circuit Cell Merger: 2.1% Tech map:26.3% Area Optimization Cell Merger:.1% avg. improvement Tech map: 2.7% avg. improvement Analysis of results Due to its local nature, Theseus optimizer left more room for improvement w.r.t. delay than w.r.t. area

59 Concluding Remarks Conclusions Robust technology mapping and cell merger algorithms for asynchronous threshold circuits proposed Fully-automated in software tool Avg. improvements for tech map Delay: 2.9% Area: 2.7% Worst-case circuit paths: delay improvements of 26.3% Our method to be included in DARPA CLASS async tool flow Future Work Careful robust extension of DAG-covering algorithms Support hybrid cost functions (e.g. area and delay) Robust multi-level optimization of asynchronous threshold networks

60

61 Asynchronous Circuits Synchronous vs. Asynchronous Systems Synchronous System global clock entire system operates at fixed rate centralized control Asynchronous System no global clock components operate at varying rates distributed control (communicate locally via handshaking) clock handshaking interfaces Synchronous System Asynchronous System

62 Asynchronous Circuits (cont.) Industrial Applications of Asynchronous Circuits Sun: UltraSPARC uses async FIFOs for memory interface Theseus Logic: asynchronous Motorola MCORE processor Philips: Feb. 26 press release: asynchronous ARM processor commercially available by ARM Ltd. Sold tens of millions of async pager chips and smart cards

63 Basics of NCL Circuits (cont.) Asynchronous Threshold Gates with Hysteresis Property Threshold gates with sequential SET/RESET functionality SET function: Each input x i has weight w i Gate has threshold value T If weighted sum Σw i x i >= T, gate fires RESET function: The gates reset only after all inputs change to s.

64 Asynchronous Circuits Benefits of Asynchronous Circuits Robustness to process variation Mitigates: timing closure problem Low power consumption, low EMI Modularity Challenges of Asynchronous Circuits Lack of CAD tools Robust design is required: hazard-freedom Area overhead

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton Dept. of Electrical and Computer Engineering University of British Columbia bradq@ece.ubc.ca

More information

An Ultra-low low energy asynchronous processor for Wireless Sensor Networks

An Ultra-low low energy asynchronous processor for Wireless Sensor Networks An Ultra-low low energy asynchronous processor for Wireless Sensor Networks.Necchi,.avagno, D.Pandini,.Vanzago Politecnico di Torino ST Microelectronics Wireless Sensor Networks - Ad-hoc wireless networks

More information

Switching and Finite Automata Theory

Switching and Finite Automata Theory Switching and Finite Automata Theory Understand the structure, behavior, and limitations of logic machines with this thoroughly updated third edition. New topics include: CMOS gates logic synthesis logic

More information

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic Aims and Objectives E 3.05 Digital System Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk How to go

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

A Delay Efficient Robust Self-Timed Full Adder

A Delay Efficient Robust Self-Timed Full Adder A Delay Efficient Robust Self-Timed Full Adder P. Balasubramanian and D.A. Edwards School of Computer Science The University of Manchester Oxford Road, Manchester M13 9PL, United Kingdom. E-mail ID: {padmanab,

More information

INTRODUCTION TO DIGITAL SYSTEMS. IMPLEMENTATION: MODULES (ICs) AND NETWORKS IMPLEMENTATION OF ALGORITHMS IN HARDWARE

INTRODUCTION TO DIGITAL SYSTEMS. IMPLEMENTATION: MODULES (ICs) AND NETWORKS IMPLEMENTATION OF ALGORITHMS IN HARDWARE INTRODUCTION TO DIGITAL SYSTEMS 1 DESCRIPTION AND DESIGN OF DIGITAL SYSTEMS FORMAL BASIS: SWITCHING ALGEBRA IMPLEMENTATION: MODULES (ICs) AND NETWORKS IMPLEMENTATION OF ALGORITHMS IN HARDWARE COURSE EMPHASIS:

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

System on Chip Design. Michael Nydegger

System on Chip Design. Michael Nydegger Short Questions, 26. February 2015 What is meant by the term n-well process? What does this mean for the n-type MOSFETs in your design? What is the meaning of the threshold voltage (practically)? What

More information

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng Architectural Level Power Consumption of Network Presenter: YUAN Zheng Why Architectural Low Power Design? High-speed and large volume communication among different parts on a chip Problem: Power consumption

More information

VHDL GUIDELINES FOR SYNTHESIS

VHDL GUIDELINES FOR SYNTHESIS VHDL GUIDELINES FOR SYNTHESIS Claudio Talarico For internal use only 1/19 BASICS VHDL VHDL (Very high speed integrated circuit Hardware Description Language) is a hardware description language that allows

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes of stuck-at

More information

ASYNCHRONOUS PULSE LOGIC

ASYNCHRONOUS PULSE LOGIC List of Figures Preface Acknowledgments First Author s Personal Thanks xi xv xxi xxiii 1. PRELIMINARIES 1 1 High-speed CMOS-circuits 1 2 Asynchronous protocols and delay-insensitive codes 3 3 Production

More information

Combinational Logic Design Process

Combinational Logic Design Process Combinational Logic Design Process Create truth table from specification Generate K-maps & obtain logic equations Draw logic diagram (sharing common gates) Simulate circuit for design verification Debug

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Engineering Change Order (ECO) Support in Programmable Logic Design

Engineering Change Order (ECO) Support in Programmable Logic Design White Paper Engineering Change Order (ECO) Support in Programmable Logic Design A major benefit of programmable logic is that it accommodates changes to the system specification late in the design cycle.

More information

Nexus: An Asynchronous Crossbar Interconnect for Synchronous System-on-Chip Designs

Nexus: An Asynchronous Crossbar Interconnect for Synchronous System-on-Chip Designs Nexus: An Asynchronous Crossbar Interconnect for Synchronous System-on-Chip Designs Andrew Lines Fulcrum Microsystems 26775 Malibu Hills Road, Calabasas, CA 9131 lines@fulcrummicrocom Abstract Asynchronous

More information

Hunting Asynchronous CDC Violations in the Wild

Hunting Asynchronous CDC Violations in the Wild Hunting Asynchronous Violations in the Wild Chris Kwok Principal Engineer May 4, 2015 is the #2 Verification Problem Why is a Big Problem: 10 or More Clock Domains are Common Even FPGA Users Are Suffering

More information

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology Topics of Chapter 5 Sequential Machines Memory elements Memory elements. Basics of sequential machines. Clocking issues. Two-phase clocking. Testing of combinational (Chapter 4) and sequential (Chapter

More information

FF-Bond: Multi-bit Flip-flop Bonding at Placement CHANG-CHENG TSAI YIYU SHI GUOJIE LUO IRIS HUI-RU JIANG. IRIS Lab NCTU MST PKU

FF-Bond: Multi-bit Flip-flop Bonding at Placement CHANG-CHENG TSAI YIYU SHI GUOJIE LUO IRIS HUI-RU JIANG. IRIS Lab NCTU MST PKU FF-Bond: Multi-bit Flip-flop Bonding at Placement CHANG-CHENG TSAI YIYU SHI GUOJIE LUO IRIS HUI-RU JIANG IRIS Lab NCTU MST PKU ISPD-13 Outline 2 Introduction Preliminaries Problem formulation Algorithm

More information

Getting the Most Out of Synthesis

Getting the Most Out of Synthesis Outline Getting the Most Out of Synthesis Dr. Paul D. Franzon 1. Timing Optimization Approaches 2. Area Optimization Approaches 3. Design Partitioning References 1. Smith and Franzon, Chapter 11 2. D.Smith,

More information

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization TingTing Hwang Tsing Hua University, Hsin-Chu 1 Outline Introduction Engineering Change Order (ECO) Voltage drop (IR-DROP) New design

More information

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies ETEC 2301 Programmable Logic Devices Chapter 10 Counters Shawnee State University Department of Industrial and Engineering Technologies Copyright 2007 by Janna B. Gallaher Asynchronous Counter Operation

More information

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Clifford Wolf, Johann Glaser, Florian Schupfer, Jan Haase, Christoph Grimm Computer Technology /99 Overview Ultra-Low-Power

More information

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models System Development Models and Methods Dipl.-Inf. Mirko Caspar Version: 10.02.L.r-1.0-100929 Contents HW/SW Codesign Process Design Abstraction and Views Synthesis Control/Data-Flow Models System Synthesis

More information

Cascaded Counters. Page 1 BYU

Cascaded Counters. Page 1 BYU Cascaded Counters Page 1 Mod-N Counters Generally we are interested in counters that count up to specific count values Not just powers of 2 A mod-n counter has N states Counts from 0 to N-1 then rolls

More information

FSM Decomposition and Functional Verification of FSM Networks

FSM Decomposition and Functional Verification of FSM Networks VLSI DESIGN 1995, Vol. 3, Nos. 3-4, pp. 249-265 Reprints available directly from the publisher Photocopying permitted by license only (C) 1995 OPA (Overseas Publishers Association) Amsterdam B.V. Published

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

Synthesis of Low-Power Selectively- Clocked Systems from High-Level Specification

Synthesis of Low-Power Selectively- Clocked Systems from High-Level Specification Synthesis of Low-Power Selectively- Clocked Systems from High-Level Specification L. BENINI Università di Bologna and G. DE MICHELI Stanford University We propose a technique for synthesizing low-power

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Datasheet -CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Overview -CV is an equivalence checker for full custom designs. It enables efficient comparison of a reference design

More information

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures Sheng Li, Junh Ho Ahn, Richard Strong, Jay B. Brockman, Dean M Tullsen, Norman Jouppi MICRO 2009

More information

Perimeter-degree: A Priori Metric for Directly Measuring and Homogenizing Interconnection Complexity in Multilevel Placement

Perimeter-degree: A Priori Metric for Directly Measuring and Homogenizing Interconnection Complexity in Multilevel Placement Perimeter-degree: A Priori Metric for Directly Measuring and Homogenizing Interconnection Complexity in Multilevel Placement Navaratnasothie Selvakkumaran (Selva) selva@cs.umn.edu 1 Authors Navaratnasothie.

More information

IE1204 Digital Design F12: Asynchronous Sequential Circuits (Part 1)

IE1204 Digital Design F12: Asynchronous Sequential Circuits (Part 1) IE1204 Digital Design F12: Asynchronous Sequential Circuits (Part 1) Elena Dubrova KTH / ICT / ES dubrova@kth.se BV pp. 584-640 This lecture IE1204 Digital Design, HT14 2 Asynchronous Sequential Machines

More information

Modeling and Design of Asynchronous Circuits

Modeling and Design of Asynchronous Circuits Modeling and Design of Asynchronous Circuits MARK B. JOSEPHS, STEVEN M. NOWICK, AND C. H. (KEES) VAN BERKEL, MEMBER, IEEE This technology review explores the behavioral and structural design domains for

More information

Lecture 10: Sequential Circuits

Lecture 10: Sequential Circuits Introduction to CMOS VLSI esign Lecture 10: Sequential Circuits avid Harris Harvey Mudd College Spring 2004 Outline q Sequencing q Sequencing Element esign q Max and Min-elay q Clock Skew q Time Borrowing

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

A Second Undergraduate Course in Digital Logic Design: The Datapath+Controller-Based Approach

A Second Undergraduate Course in Digital Logic Design: The Datapath+Controller-Based Approach A Second Undergraduate Course in Digital Logic Design: The Datapath+Controller-Based Approach Mitchell A. Thornton 1 and Aaron S. Collins 2 Abstract A second undergraduate course in digital logic design

More information

Lecture 11: Sequential Circuit Design

Lecture 11: Sequential Circuit Design Lecture 11: Sequential Circuit esign Outline Sequencing Sequencing Element esign Max and Min-elay Clock Skew Time Borrowing Two-Phase Clocking 2 Sequencing Combinational logic output depends on current

More information

1. Memory technology & Hierarchy

1. Memory technology & Hierarchy 1. Memory technology & Hierarchy RAM types Advances in Computer Architecture Andy D. Pimentel Memory wall Memory wall = divergence between CPU and RAM speed We can increase bandwidth by introducing concurrency

More information

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler DAC 2008 Philip Watson Philip Watson Implementation Environment Program Manager ARM Ltd Background - Who Are We? Processor

More information

Lecture 10: Multiple Clock Domains

Lecture 10: Multiple Clock Domains Bluespec SystemVerilog Training Lecture 10: Multiple Clock Domains Copyright Bluespec, Inc., 2005-2008 Lecture 10: Multiple Clock Domains The Clock type, and functions Modules with different clocks Clock

More information

CHAPTER 3 Boolean Algebra and Digital Logic

CHAPTER 3 Boolean Algebra and Digital Logic CHAPTER 3 Boolean Algebra and Digital Logic 3.1 Introduction 121 3.2 Boolean Algebra 122 3.2.1 Boolean Expressions 123 3.2.2 Boolean Identities 124 3.2.3 Simplification of Boolean Expressions 126 3.2.4

More information

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems Harris Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH

More information

Lecture 10 Sequential Circuit Design Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 10 Sequential Circuit Design Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS igital IC esign & Analysis Lecture 10 Sequential Circuit esign Zhuo Feng 10.1 Z. Feng MTU EE4800 CMOS igital IC esign & Analysis 2010 Sequencing Outline Sequencing Element esign Max and Min-elay

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Chapter 9 Latches, Flip-Flops, and Timers

Chapter 9 Latches, Flip-Flops, and Timers ETEC 23 Programmable Logic Devices Chapter 9 Latches, Flip-Flops, and Timers Shawnee State University Department of Industrial and Engineering Technologies Copyright 27 by Janna B. Gallaher Latches A temporary

More information

Lecture 7: Clocking of VLSI Systems

Lecture 7: Clocking of VLSI Systems Lecture 7: Clocking of VLSI Systems MAH, AEN EE271 Lecture 7 1 Overview Reading Wolf 5.3 Two-Phase Clocking (good description) W&E 5.5.1, 5.5.2, 5.5.3, 5.5.4, 5.5.9, 5.5.10 - Clocking Note: The analysis

More information

Finite State Machine Design and VHDL Coding Techniques

Finite State Machine Design and VHDL Coding Techniques Finite State Machine Design and VHDL Coding Techniques Iuliana CHIUCHISAN, Alin Dan POTORAC, Adrian GRAUR "Stefan cel Mare" University of Suceava str.universitatii nr.13, RO-720229 Suceava iulia@eed.usv.ro,

More information

Verification of Triple Modular Redundancy (TMR) Insertion for Reliable and Trusted Systems

Verification of Triple Modular Redundancy (TMR) Insertion for Reliable and Trusted Systems Verification of Triple Modular Redundancy (TMR) Insertion for Reliable and Trusted Systems Melanie Berg 1, Kenneth LaBel 2 1.AS&D in support of NASA/GSFC Melanie.D.Berg@NASA.gov 2. NASA/GSFC Kenneth.A.LaBel@NASA.gov

More information

VHDL DESIGN OF EDUCATIONAL, MODERN AND OPEN- ARCHITECTURE CPU

VHDL DESIGN OF EDUCATIONAL, MODERN AND OPEN- ARCHITECTURE CPU VHDL DESIGN OF EDUCATIONAL, MODERN AND OPEN- ARCHITECTURE CPU Martin Straka Doctoral Degree Programme (1), FIT BUT E-mail: strakam@fit.vutbr.cz Supervised by: Zdeněk Kotásek E-mail: kotasek@fit.vutbr.cz

More information

Sequential Circuits. Combinational Circuits Outputs depend on the current inputs

Sequential Circuits. Combinational Circuits Outputs depend on the current inputs Principles of VLSI esign Sequential Circuits Sequential Circuits Combinational Circuits Outputs depend on the current inputs Sequential Circuits Outputs depend on current and previous inputs Requires separating

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Basic Properties of a Digital Design These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Which of these criteria is important

More information

Three-Phase Dual-Rail Pre-Charge Logic

Three-Phase Dual-Rail Pre-Charge Logic Infineon Page 1 CHES 2006 - Yokohama Three-Phase Dual-Rail Pre-Charge Logic L. Giancane, R. Luzzi, A. Trifiletti {marco.bucci, raimondo.luzzi}@infineon.com {giancane, trifiletti}@die.mail.uniroma1.it Summary

More information

FINITE STATE MACHINE: PRINCIPLE AND PRACTICE

FINITE STATE MACHINE: PRINCIPLE AND PRACTICE CHAPTER 10 FINITE STATE MACHINE: PRINCIPLE AND PRACTICE A finite state machine (FSM) is a sequential circuit with random next-state logic. Unlike the regular sequential circuit discussed in Chapters 8

More information

CHAPTER 5 FINITE STATE MACHINE FOR LOOKUP ENGINE

CHAPTER 5 FINITE STATE MACHINE FOR LOOKUP ENGINE CHAPTER 5 71 FINITE STATE MACHINE FOR LOOKUP ENGINE 5.1 INTRODUCTION Finite State Machines (FSMs) are important components of digital systems. Therefore, techniques for area efficiency and fast implementation

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

路 論 Chapter 15 System-Level Physical Design

路 論 Chapter 15 System-Level Physical Design Introduction to VLSI Circuits and Systems 路 論 Chapter 15 System-Level Physical Design Dept. of Electronic Engineering National Chin-Yi University of Technology Fall 2007 Outline Clocked Flip-flops CMOS

More information

High Performance Computing for Operation Research

High Performance Computing for Operation Research High Performance Computing for Operation Research IEF - Paris Sud University claude.tadonki@u-psud.fr INRIA-Alchemy seminar, Thursday March 17 Research topics Fundamental Aspects of Algorithms and Complexity

More information

Introduction to Machine Learning and Data Mining. Prof. Dr. Igor Trajkovski trajkovski@nyus.edu.mk

Introduction to Machine Learning and Data Mining. Prof. Dr. Igor Trajkovski trajkovski@nyus.edu.mk Introduction to Machine Learning and Data Mining Prof. Dr. Igor Trakovski trakovski@nyus.edu.mk Neural Networks 2 Neural Networks Analogy to biological neural systems, the most robust learning systems

More information

Counters and Decoders

Counters and Decoders Physics 3330 Experiment #10 Fall 1999 Purpose Counters and Decoders In this experiment, you will design and construct a 4-bit ripple-through decade counter with a decimal read-out display. Such a counter

More information

ASYNCHRONOUS COUNTERS

ASYNCHRONOUS COUNTERS LB no.. SYNCHONOUS COUNTES. Introduction Counters are sequential logic circuits that counts the pulses applied at their clock input. They usually have 4 bits, delivering at the outputs the corresponding

More information

Scheduling Home Health Care with Separating Benders Cuts in Decision Diagrams

Scheduling Home Health Care with Separating Benders Cuts in Decision Diagrams Scheduling Home Health Care with Separating Benders Cuts in Decision Diagrams André Ciré University of Toronto John Hooker Carnegie Mellon University INFORMS 2014 Home Health Care Home health care delivery

More information

Design Cycle for Microprocessors

Design Cycle for Microprocessors Cycle for Microprocessors Raúl Martínez Intel Barcelona Research Center Cursos de Verano 2010 UCLM Intel Corporation, 2010 Agenda Introduction plan Architecture Microarchitecture Logic Silicon ramp Types

More information

Low latency synchronization through speculation

Low latency synchronization through speculation Low latency synchronization through speculation D.J.Kinniment, and A.V.Yakovlev School of Electrical and Electronic and Computer Engineering, University of Newcastle, NE1 7RU, UK {David.Kinniment,Alex.Yakovlev}@ncl.ac.uk

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Prof. David Lariviere Columbia University Spring 2014 Overview What are IP Cores? Altera Design Tools for using and integrating IP Cores Overview of various IP Core Interconnect

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

Design Verification & Testing Design for Testability and Scan

Design Verification & Testing Design for Testability and Scan Overview esign for testability (FT) makes it possible to: Assure the detection of all faults in a circuit Reduce the cost and time associated with test development Reduce the execution time of performing

More information

Lecture 10: Latch and Flip-Flop Design. Outline

Lecture 10: Latch and Flip-Flop Design. Outline Lecture 1: Latch and Flip-Flop esign Slides orginally from: Vladimir Stojanovic Computer Systems Laboratory Stanford University horowitz@stanford.edu 1 Outline Recent interest in latches and flip-flops

More information

System Modelingg Models of Computation and their Applications Axel Jantsch Laboratory for Electronics and Computer Systems (LECS) Royal Institute of Technology, Stockholm, Sweden February 4, 2005 System

More information

SYSM 6304: Risk and Decision Analysis Lecture 5: Methods of Risk Analysis

SYSM 6304: Risk and Decision Analysis Lecture 5: Methods of Risk Analysis SYSM 6304: Risk and Decision Analysis Lecture 5: Methods of Risk Analysis M. Vidyasagar Cecil & Ida Green Chair The University of Texas at Dallas Email: M.Vidyasagar@utdallas.edu October 17, 2015 Outline

More information

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng Digital Logic Design Basics Combinational Circuits Sequential Circuits Pu-Jen Cheng Adapted from the slides prepared by S. Dandamudi for the book, Fundamentals of Computer Organization and Design. Introduction

More information

Highly-Dependable and Long-Lifetime Data-Driven Networking Processor with Energy Assurance Capability

Highly-Dependable and Long-Lifetime Data-Driven Networking Processor with Energy Assurance Capability Int'l Conf. Par. and Dist. Proc. Tech. and Appl. PDPTA'15 557 Highly-Dependable and Long-Lifetime Data-Driven Networking Processor with Energy Assurance Capability Shuji SANNOMIYA and Hiroaki NISHIKAWA

More information

Memory Elements. Combinational logic cannot remember

Memory Elements. Combinational logic cannot remember Memory Elements Combinational logic cannot remember Output logic values are function of inputs only Feedback is needed to be able to remember a logic value Memory elements are needed in most digital logic

More information

From Bus and Crossbar to Network-On-Chip. Arteris S.A.

From Bus and Crossbar to Network-On-Chip. Arteris S.A. From Bus and Crossbar to Network-On-Chip Arteris S.A. Copyright 2009 Arteris S.A. All rights reserved. Contact information Corporate Headquarters Arteris, Inc. 1741 Technology Drive, Suite 250 San Jose,

More information

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division Introduction to Programmable Logic Devices John Coughlan RAL Technology Department Detector & Electronics Division PPD Lectures Programmable Logic is Key Underlying Technology. First-Level and High-Level

More information

International Workshop on Field Programmable Logic and Applications, FPL '99

International Workshop on Field Programmable Logic and Applications, FPL '99 International Workshop on Field Programmable Logic and Applications, FPL '99 DRIVE: An Interpretive Simulation and Visualization Environment for Dynamically Reconægurable Systems? Kiran Bondalapati and

More information

fakultät für informatik informatik 12 technische universität dortmund Data flow models Peter Marwedel Informatik 12 TU Dortmund Germany

fakultät für informatik informatik 12 technische universität dortmund Data flow models Peter Marwedel Informatik 12 TU Dortmund Germany 12 Data flow models Peter Marwedel Informatik 12 TU Dortmund Germany Models of computation considered in this course Communication/ local computations Communicating finite state machines Data flow model

More information

GameTime: A Toolkit for Timing Analysis of Software

GameTime: A Toolkit for Timing Analysis of Software GameTime: A Toolkit for Timing Analysis of Software Sanjit A. Seshia and Jonathan Kotker EECS Department, UC Berkeley {sseshia,jamhoot}@eecs.berkeley.edu Abstract. Timing analysis is a key step in the

More information

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit Modeling Sequential Elements with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 4-1 Sequential Circuit Outputs are functions of inputs and present states of storage elements

More information

Automated Synthesis of Delay-Insensitive Circuits. Roger Anthony Sayle

Automated Synthesis of Delay-Insensitive Circuits. Roger Anthony Sayle Automated Synthesis of Delay-Insensitive Circuits Roger Anthony Sayle Doctor of Philosophy Department of Computer Science University of Edinburgh 1996 Abstract The technological trend towards VLSI circuits

More information

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat. Introduction to VLSI Programming TU/e course 2IN30 Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.Lab] Introduction to VLSI Programming Goals Create silicon (CMOS) awareness

More information

PROGETTO DI SISTEMI ELETTRONICI DIGITALI. Digital Systems Design. Digital Circuits Advanced Topics

PROGETTO DI SISTEMI ELETTRONICI DIGITALI. Digital Systems Design. Digital Circuits Advanced Topics PROGETTO DI SISTEMI ELETTRONICI DIGITALI Digital Systems Design Digital Circuits Advanced Topics 1 Sequential circuit and metastability 2 Sequential circuit - FSM A Sequential circuit contains: Storage

More information

The Designer's Guide to VHDL

The Designer's Guide to VHDL The Designer's Guide to VHDL Third Edition Peter J. Ashenden EDA CONSULTANT, ASHENDEN DESIGNS PTY. LTD. ADJUNCT ASSOCIATE PROFESSOR, ADELAIDE UNIVERSITY AMSTERDAM BOSTON HEIDELBERG LONDON m^^ yj 1 ' NEW

More information

Lecture 5: Logical Effort

Lecture 5: Logical Effort Introduction to CMOS VLSI Design Lecture 5: Logical Effort David Harris Harvey Mudd College Spring 2004 Outline Introduction Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Prof. Randy Katz (Unified

More information

Hardware/Software Co-Design of Run-Time Schedulers for Real-Time Systems

Hardware/Software Co-Design of Run-Time Schedulers for Real-Time Systems Design Automation for Embedded Systems, 6, 89 144 (2000) c 2000 Kluwer Academic Publishers, Boston. Manufactured in The Netherlands. Hardware/Software Co-Design of Run-Time Schedulers for Real-Time Systems

More information

Prototyping ARM Cortex -A Processors using FPGA platforms

Prototyping ARM Cortex -A Processors using FPGA platforms Prototyping ARM Cortex -A Processors using FPGA platforms Brian Sibilsky and Fredrik Brosser April 2016 Page 1 of 17 Contents Introduction... 3 Gating... 4 RAM Implementation... 7 esign Partitioning...

More information

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut.

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut. System-on on-chip Design Flow Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems jouni.tomberg@tut.fi 26.03.2003 Jouni Tomberg / TUT 1 SoC - How and with whom?

More information

AES1. Ultra-Compact Advanced Encryption Standard Core. General Description. Base Core Features. Symbol. Applications

AES1. Ultra-Compact Advanced Encryption Standard Core. General Description. Base Core Features. Symbol. Applications General Description The AES core implements Rijndael encoding and decoding in compliance with the NIST Advanced Encryption Standard. Basic core is very small (start at 800 Actel tiles). Enhanced versions

More information

List of courses MEngg (Computer Systems)

List of courses MEngg (Computer Systems) List of courses MEngg (Computer Systems) Course No. Course Title Non-Credit Courses CS-401 CS-402 CS-403 CS-404 CS-405 CS-406 Introduction to Programming Systems Design System Design using Microprocessors

More information

Software Synthesis from Dataflow Models for G and LabVIEW

Software Synthesis from Dataflow Models for G and LabVIEW Presented at the Thirty-second Annual Asilomar Conference on Signals, Systems, and Computers. Pacific Grove, California, U.S.A., November 1998 Software Synthesis from Dataflow Models for G and LabVIEW

More information

Computer Engineering: MS Program Overview, Fall 2013

Computer Engineering: MS Program Overview, Fall 2013 Computer Engineering: MS Program Overview, Fall 2013 Prof. Steven Nowick (nowick@cs.columbia.edu) Chair, (on sabbatical) Prof. Charles Zukowski (caz@columbia.edu) Acting Chair, Overview of Program The

More information

A Static Analyzer for Large Safety-Critical Software. Considered Programs and Semantics. Automatic Program Verification by Abstract Interpretation

A Static Analyzer for Large Safety-Critical Software. Considered Programs and Semantics. Automatic Program Verification by Abstract Interpretation PLDI 03 A Static Analyzer for Large Safety-Critical Software B. Blanchet, P. Cousot, R. Cousot, J. Feret L. Mauborgne, A. Miné, D. Monniaux,. Rival CNRS École normale supérieure École polytechnique Paris

More information

Open Flow Controller and Switch Datasheet

Open Flow Controller and Switch Datasheet Open Flow Controller and Switch Datasheet California State University Chico Alan Braithwaite Spring 2013 Block Diagram Figure 1. High Level Block Diagram The project will consist of a network development

More information

Interconnection Networks

Interconnection Networks Advanced Computer Architecture (0630561) Lecture 15 Interconnection Networks Prof. Kasim M. Al-Aubidy Computer Eng. Dept. Interconnection Networks: Multiprocessors INs can be classified based on: 1. Mode

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information