Modeling Latches and Flip-flops



Similar documents
Modeling Registers and Counters

CHAPTER 11 LATCHES AND FLIP-FLOPS

DIGITAL COUNTERS. Q B Q A = 00 initially. Q B Q A = 01 after the first clock pulse.

Having read this workbook you should be able to: recognise the arrangement of NAND gates used to form an S-R flip-flop.

CDA 3200 Digital Systems. Instructor: Dr. Janusz Zalewski Developed by: Dr. Dahai Guo Spring 2012

Lesson 12 Sequential Circuits: Flip-Flops

To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC.

Sequential Logic. (Materials taken from: Principles of Computer Hardware by Alan Clements )

Latches, the D Flip-Flop & Counter Design. ECE 152A Winter 2012

Module 3: Floyd, Digital Fundamental

7. Latches and Flip-Flops

Chapter 8. Sequential Circuits for Registers and Counters

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: ext: Sequential Circuit

Chapter 9 Latches, Flip-Flops, and Timers

SEQUENTIAL CIRCUITS. Block diagram. Flip Flop. S-R Flip Flop. Block Diagram. Circuit Diagram

Asynchronous counters, except for the first block, work independently from a system clock.

Engr354: Digital Logic Circuits

Memory Elements. Combinational logic cannot remember

Sequential Logic Design Principles.Latches and Flip-Flops

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa

Contents COUNTER. Unit III- Counters

LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters

Counters and Decoders

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

WEEK 8.1 Registers and Counters. ECE124 Digital Circuits and Systems Page 1

Sequential Logic: Clocks, Registers, etc.

A New Paradigm for Synchronous State Machine Design in Verilog

ECE232: Hardware Organization and Design. Part 3: Verilog Tutorial. Basic Verilog

ECE380 Digital Logic

Digital Logic Design Sequential circuits

Theory of Logic Circuits. Laboratory manual. Exercise 3

Combinational Logic Design Process

EXPERIMENT 8. Flip-Flops and Sequential Circuits

CS311 Lecture: Sequential Circuits

ASYNCHRONOUS COUNTERS

So far we have investigated combinational logic for which the output of the logic devices/circuits depends only on the present state of the inputs.

CHAPTER 11: Flip Flops

CSE140: Components and Design Techniques for Digital Systems

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev Key Design Features. Block Diagram. Generic Parameters.

Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill

Set-Reset (SR) Latch

9/14/ :38

EC313 - VHDL State Machine Example

VHDL GUIDELINES FOR SYNTHESIS

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition

Lecture 8: Synchronous Digital Systems

ECE 3401 Lecture 7. Concurrent Statements & Sequential Statements (Process)

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

Master/Slave Flip Flops

Lab 1: Introduction to Xilinx ISE Tutorial

Counters. Present State Next State A B A B

IE1204 Digital Design F12: Asynchronous Sequential Circuits (Part 1)

BINARY CODED DECIMAL: B.C.D.

Fig1-1 2-bit asynchronous counter

PROGETTO DI SISTEMI ELETTRONICI DIGITALI. Digital Systems Design. Digital Circuits Advanced Topics

Flip-Flops and Sequential Circuit Design. ECE 152A Winter 2012

Flip-Flops and Sequential Circuit Design

Asynchronous Counters. Asynchronous Counters

Lecture-3 MEMORY: Development of Memory:

CHAPTER 3 Boolean Algebra and Digital Logic

DIGITAL TECHNICS II. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute

16-bit ALU, Register File and Memory Write Interface

State Machines in VHDL

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

Chapter 5. Sequential Logic

Asynchronous & Synchronous Reset Design Techniques - Part Deux

Counters & Shift Registers Chapter 8 of R.P Jain

Prototyping ARM Cortex -A Processors using FPGA platforms

GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview

VHDL Test Bench Tutorial

Finite State Machine Design and VHDL Coding Techniques

A Verilog HDL Test Bench Primer Application Note

Flip-Flops, Registers, Counters, and a Simple Processor

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Multiplexers Two Types + Verilog

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

Design and Implementation of Vending Machine using Verilog HDL

Finite State Machine Design A Vending Machine

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng

Lecture 10 Sequential Circuit Design Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Registers & Counters

Using Altera MAX Series as Microcontroller I/O Expanders

The 104 Duke_ACC Machine

Digital Design Verification

DIGITAL ELECTRONICS. Counters. By: Electrical Engineering Department

DIGITAL TECHNICS II. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute. 2nd (Spring) term 2012/2013

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

Chapter 7. Registers & Register Transfers. J.J. Shann. J. J. Shann

路 論 Chapter 15 System-Level Physical Design

Source-Synchronous Serialization and Deserialization (up to 1050 Mb/s) Author: NIck Sawyer

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER

Using Xilinx ISE for VHDL Based Design

Design Example: Counters. Design Example: Counters. 3-Bit Binary Counter. 3-Bit Binary Counter. Other useful counters:

PCIe Core Output Products Generation (Generate Example Design)

Cascaded Counters. Page 1 BYU

Getting the Most Out of Synthesis

Layout of Multiple Cells

Transcription:

Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect, these circuits must be able to remember something about the past history of the inputs. Thus the timing concept is introduced and the clock signal provides the timing essence to the sequential circuits. Latches and flip-flops are commonly used memory devices in sequential circuits. Please refer to the Vivado tutorial on how to use the Vivado tool for creating projects and verifying digital circuits. Objectives After completing this lab, you will be able to: Model various types of latches Model flip-flops with control signals Latches Part 1 Storage elements can be classified into latches and flip-flops. A latch is a device with exactly two stable states: a high-output and a low-output. A latch has a feedback path, so information can be retained by the device. Therefore latches are volatile memory devices, and can store one bit of data for as long as the device is powered. As the name suggests, latches are used to "latch onto" information and hold the data in place. An SR latch (Set/Reset) is an asynchronous device: it works independently of control signals and relies only on the state of the S and R inputs. The symbol, the circuit using NOR gates, and the truth table are shown below. Though Xilinx FPGA can implement such a latch using one LUT (Look-Up Table) circuit, the following VHDL code shows how such circuit can be modeled using structural and dataflow modeling. architecture SR_latch of SR_latch_dataflow is begin Q <= Qbar nor R; Qbar <= Q nor S; end SR_latch; architecture SR_latch of SR_latch_dataflow_arch is begin Q_i <= Q after 2ns; Qbar_i <= Qbar after 2ns; Q <= not(r or Qbar) after 2ns; Qbar <= not(s or Q) after 2ns; end SR_latch; www.xilinx.com/university Artix-7 5-1

Lab Workbook 1-1. Design a SR latch (you can base it on the example shown above). Synthesize the design and view the RTL schematic of the Open Synthesized Design. Develop a testbench to test (see waveform below) and validate the design. Simulate the design. Assign S input to SW0 and R input to SW1. Assign Q to LED0 and Qbar to LED1. Implement the design and verify the functionality in hardware. 1-1-1. Open Vivado and create a blank project called lab5_1_1. 1-1-2. Create and add the VHDL module with the SR_latch_dataflow code. 1-1-3. Develop a testbench (see waveform above) to test and validate the design. 1-1-4. Add the appropriate board related master XDC file to the project and edit it to include the related pins, assigning S input to SW0, R input to SW1, Q to LED0, and Qbar to LED1. 1-1-5. Set the tcl.pre option in the Bitstream Settings to point to the provided lab5_prehook.tcl file. This 1-1-6. Synthesize the design and view the schematic under the Open Synthesized Design process group. Verify that it uses 2 LUTs and 4 IOs (2 IBUF, and 2 OBUF). 1-1-7. Implement the design and view the project summary. It should show 2 LUTs, 2 slice, and 4 IOs. 1-1-8. Generate the bitstream, download it into the Basys3 or the Nexys4 DDR board, and verify the In some situations it may be desirable to dictate when the latch can and cannot latch. The gated SR latch is a simple extension of the SR latch which provides an Enable line which must be driven high before data can be latched. Even though a control line is now required, the SR latch is not synchronous, because the inputs can change the output even in the middle of an enable pulse. When the Enable input is low, then the outputs from the AND gates must also be low, thus the Q and bar Q outputs remain latched to the previous data. Only when the Enable input is high can the state of the latch change, as shown in the truth table. When the enable line is asserted, a gated SR latch is identical in operation to an SR latch. The Enable line is sometimes a clock signal, but is usually a read or writes strobe. The symbol, circuit, and the truth table of the gates SR latch are shown below. Artix-7 5-2 www.xilinx.com/university

Lab Workbook 1-2. Design a gated SR latch (shown in the figure above) using dataflow modeling. Synthesize the design and view the schematic of the Open Synthesized Design. Develop a testbench to test (generate input as shown below) and validate the design. Simulate the design. Assign S input to SW0, R input to SW1, and Enable input to SW2. Assign Q to LED0 and Qbar to LED1. Implement the design and verify the functionality in the hardware. 1-2-1. Open Vivado and create a blank project called lab5_1_2. 1-2-2. Create and add the VHDL module that will model the gated SR latch using dataflow modeling. Assign 2 units delay to each assignment statement used in the model. 1-2-3. Develop a testbench to test and validate the design. It should generate the input stimuli as shown in the figure above. 1-2-4. Add the appropriate board related master XDC file to the project and edit it to include the related pins, assigning S input to SW0, R input to SW1, Enable to SW2, Q to LED0, and Qbar to LED1. 1-2-5. Set the tcl.pre option in the Bitstream Settings to point to the provided lab5_prehook.tcl file. This 1-2-6. Synthesize the design and view the schematic under the Open Synthesized Design process group. Verify that it uses 2 LUTs and 5 IOs. 1-2-7. Implement the design and view the Utilization Report. It should show 2LUTs, 1 slice, and 5 IOs. 1-2-8. Generate the bitstream, download it into the Basys3 or the Nexys4 DDR board, and verify the www.xilinx.com/university Artix-7 5-3

Lab Workbook The D latch (D for "data") or transparent latch is a simple extension of the gated SR latch that removes the possibility of invalid input states (metastability). Since the gated SR latch allows us to latch the output without using the S or R inputs, we can remove one of the inputs by driving both the Set and Reset inputs with a complementary driver, i.e. we remove one input and automatically make it the inverse of the remaining input. The D latch outputs the D input whenever the Enable line is high, otherwise the output is whatever the D input was when the Enable input was last high. This is why it is also known as a transparent latch - when Enable is asserted, the latch is said to be "transparent" - it signals propagate directly through it as if it isn't there. D-latches can be modeled in behavioral modeling as shown below. architecture behavior of D_latch is begin process (D, Enable) begin if (Enable = 1 ) then Qbar <= not(d); Note that since we do not say what to do when Enable is low, the circuit remembers the previous state. While Enable is high and since the always block is also sensitive to D, Q and Qbar will be updated at any time D changes, giving it a transparent behavior. The distinction between the blocking and nonblocking assignment is covered in Lab 7 (Testbenches for Sequential Circuits). 1-3. Design a D latch (shown in the figure above) using dataflow modeling. Synthesize the design and view the schematic of the Open Synthesized Design. Develop a testbench to test (generate input as shown below) and validate the design. Simulate the design. Assign D input to SW0, and Enable input to SW1. Assign Q to LED0 and Qbar to LED1. Implement the design and verify the functionality in hardware. 1-3-1. Open Vivado and create a blank project called lab5_1_3. 1-3-2. Create and add the VHDL module that will model the D latch using dataflow modeling. Assign 2 units delay to each assignment statement used in the model. Artix-7 5-4 www.xilinx.com/university

Lab Workbook 1-3-3. Develop a testbench to test and validate the design. It should generate the input stimuli as shown in the figure above. 1-3-4. Add the appropriate board related master XDC file to the project and edit it to include the related pins, assigning d input to SW0, Enable to SW1, Q to LED0, and Qbar to LED1. 1-3-5. Set the tcl.pre option in the Bitstream Settings to point to the provided lab5_prehook.tcl file. This 1-3-6. Synthesize the design and view the schematic under the Open Synthesized Design process group. Verify that it uses 2 LUTs and 4 IOs. 1-3-7. Implement the design and view the Utilization Report. It should show 2 LUTs, 1 slice, and 4 IOs. 1-3-8. Generate the bitstream, download it into the Basys3 or the Nexys4 DDR board, and verify the Flip-flops Part 2 Flip-flops are clocked circuits whose output may change on an active edge of the clock signal based on its input. Unlike latches, which are transparent and in which output can change when the gated signal is asserted upon the input change, flip-flops normally would not change the output upon input change even when the clock signal is asserted. Flip-flops are widely used in synchronous circuits. The D flip-flop is a widely used type of flip-flop. It is also known as a data or delay flip-flop. The D flip-flop captures the value of the D-input at a definite portion of the clock cycle (such as the rising edge of the clock). That captured value becomes the Q output. At other times, the output Q does not change. The D flip-flop can be viewed as a memory cell or a delay line. The active edge in a flip-flop could be rising or falling. The following figure shows rising (also called positive) edge triggered D flip-flop and falling (negative edge) triggered D flip-flop. The positive edge triggered D flip-flop can be modeled using behavioral modeling as shown below. architecture behavior of D_ff is begin if rising_edge(clk) then Note that the process block is sensitive to any change to clk value, however the rising_edge function (defined in IEEE library) checks for the value change from any value to final value of 1. When a change (event) on the sensitive signal occurs, the statements in the if block will be executed. www.xilinx.com/university Artix-7 5-5

Lab Workbook 2-1. Model a D flip-flop using behavioral modeling. Develop a testbench to validate the model (see diagram below). Simulate the design. 2-1-1. Open Vivado and create a blank project called lab5_2_1. 2-1-2. Create and add the VHDL module that will model simple D flip-flop. 2-1-3. Develop a testbench to validate the design behavior. It should generate the input stimuli as shown in the above timing diagram. The following circuit and timing diagrams illustrate the differences between D-latch, rising edge triggered D flip-flop and falling edge triggered D flip-flops. 2-2. Model the circuit, as shown above, using behavioral modeling. You will use three process blocks. Develop a testbench generating input as shown above. Simulate and validate the design. 2-2-1. Open Vivado and create a blank project called lab5_2_2. 2-2-2. Create and add the VHDL module that will model the given circuit. 2-2-3. Develop a testbench to test and analyze the design behavior. It should generate the input stimuli as shown in the timing diagram. Often it is necessary to have the synchronous element to start with a defined output. It is also desired and required in some circuits to force the synchronous element to a known output ignoring input at the D input. The D flip-flop discussed above can be modified to have such Such D flip-flop is known as D flip-flop with synchronous set and reset capabilities if the desired output is obtained on the active edge of the clock, otherwise it is viewed to have asynchronous preset and clear. The models of each kind are shown below. Artix-7 5-6 www.xilinx.com/university

Lab Workbook architecture behavior of D_ff_with_synch_reset is begin if rising_edge(clk) begin if (reset = 1 ) then Q <= 0 ; else architecture behavior of D_ff_with_asynch_reset is begin process (clk, clear) begin if (clear = 1 ) then Q <= 0 ; elsif rising_edge(clk) then 2-3. Model the D flip-flop with synchronous reset using behavioral modeling. Develop a testbench to test (generate input as shown) and validate the design. Simulate the design. Assign D input to SW0, reset to SW1, Clk to SW15, and output Q to LED0. Verify the design in hardware. 2-3-1. Open Vivado and create a blank project called lab5_2_3. 2-3-2. Create and add the VHDL module that will model the D flip-flop with synchronous reset. 2-3-3. Develop a testbench to test and analyze the design behavior. It should generate the input stimuli as shown in the timing diagram. 2-3-4. Add the appropriate board related master XDC file to the project and edit it to include the related pins, assigning D input to SW0, reset input to SW1, Clk to SW2, and Q to LED0. set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets { clk }]; The above line of code needs to be added to the XDC file to allow SW15 be used as a clock. 2-3-5. Set the tcl.pre option in the Bitstream Settings to point to the provided lab5_prehook.tcl file. This 2-3-6. Synthesize and implement the design. Look at the Project Summary and note that 1 BUFG and 4 IOs are used. The BUFG is used because the clock signal is used in the design. www.xilinx.com/university Artix-7 5-7

Lab Workbook 2-3-7. Generate the bitstream, download it into the Basys3 or the Nexys4 DDR board, and verify the In FPGAs, LUT and FF located in different configurable logic blocks (CLB) are connected using routing resources. During implementation, the tools will use these resources depending on the way the circuits are modeled, the type and amount of resources required, and the speed at which the circuit is going to be driven. Often resources used for exchanging information are placed close to each other; however, there can be a situation when it may not be possible. When related flip-flops, between which the information gets exchanged are placed away from each other, the clocks arriving at the source and destination flipflops may not be at the same time creating what is called clock-skew. The clock-skew can alter the behavior of the circuit. In some other cases, certain flip-flops may not need to update their output at every asserted clock edges. In order to control the behavior, flip-flops in FPGA have an additional control signal called Clock Enable (CE). In ASIC technology, gated clocks are used to control the behavior. A symbol of the flip-flop with CE is shown below. architecture behavior of D_ff_with_ce is begin if rising_edge(clk) then if (ce = 1 ) then architecture behavior of D_ff_with_ce_and_synch_reset is begin if rising_edge(clk) then if (reset = 1 ) then Q <= 0 ; elsif (ce = 1 ) then 2-4. Model the D flip-flop with synchronous reset and clock enable using behavioral modeling. Develop a testbench to test (generate input as shown) and validate the design. Simulate the design. Assign D input to SW0, reset to SW1, Clk to SW15, ce to SW2, and output Q to LED0. Verify the design in hardware. Artix-7 5-8 www.xilinx.com/university

Lab Workbook 2-4-1. Open Vivado and create a blank project called lab5_2_4. 2-4-2. Create and add the VHDL module that will model the D flip-flop with synchronous reset and clock enable. 2-4-3. Develop a testbench to test and analyze the design behavior. It should generate the input stimuli as shown in the above timing diagram. 2-4-4. Add the appropriate board related master XDC file to the project and edit it to include the related pins, assigning D to SW0, reset to SW1, Clk to SW15, ce to SW3, and Q to LED0. set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets { clk }]; The above line of code needs to be added to the XDC file to allow SW15 be used as a clock. 2-4-5. Set the tcl.pre option in the Bitstream Settings to point to the provided lab5_prehook.tcl file. This 2-4-6. Synthesize and implement the design. Look at the Project Summary and note that 1 BUFG and 5 IOs are used. The BUFG is used because the clock signal is used in the design. 2-4-7. Generate the bitstream, download it into the Basys3 or the Nexys4 DDR board, and verify the In digital circuits, another kind of flip-flop, called T or Toggle, is used to implement clock divider circuits. It can be used to divide the input by 2. If more than one T flip-flop is cascaded then the clock division can be 2 power of the number of flip-flops used. The T flip-flop has a T input (data), a clock input, and optionally reset and enable control signals. architecture behavior of T_ff is begin if falling_edge(clk) then Q <= not(q); The T flip-flop can also have a control signal called CE (clock enable) which will allow clock division to take place only when it is asserted. The following code models the functionality of the T flip-flop that is sensitive to a falling edge of clock and has active-low reset and active-high T control signals. architecture behavior of T_ff_enable is begin if falling_edge(clk) then if (enable = 1 ) and (T = 1 ) then Q <= not(q); else Q <= Q; www.xilinx.com/university Artix-7 5-9

Lab Workbook 2-5. Model a T flip-flop with synchronous negative-logic reset and clock enable using the above code. Assign T input to SW0, enable to SW1, Clk to SW15, and output Q to LED0. Verify the design in hardware. 2-5-1. Open Vivado and create a blank project lab5_2_5. 2-5-2. Create and add the VHDL module that will model the D flip-flop with synchronous reset and clock enable. 2-5-3. Add the appropriate board related master XDC file to the project and edit it to include the related pins, assigning T input to SW0, enable input to SW1, Clk to SW15, and Q to LED0. set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets { clk }]; The above line of code needs to be added to the XDC file to allow SW15 be used as a clock. 2-5-4. Set the tcl.pre option in the Bitstream Settings to point to the provided lab5_prehook.tcl file. This 2-5-5. Synthesize and implement the design. 2-5-6. Generate the bitstream, download it into the Basys3 or the Nexys4 DDR board, and verify the Conclusion In this lab, you learned the functionality of various kinds of latches and flip-flops. You modeled and verified the functionality of these components. Xilinx also provides some basic latches and flip-flops library components which a designer can instantiate and use instead of writing a model. Writing a model provides portability across vendors and technologies whereas instantiating library components enable a quick use of a component without re-inventing the wheel. Here is the table that lists some of the functionality and the corresponding library component supported by the Vivado Synthesis Tool. Please refer to ug953-vivado-7series-libraries.pdf file for more components and instantiation examples. FDCE FDPE FDRE LDCE LDPE D Flip-Flop with Asynchronous Clear and Clock Enable D Flip-Flop with Asynchronous Preset and Clock Enable D Flip-Flop with Synchronous Reset and Clock Enable Transparent Latch with Asynchronous Clear and gate Enable Transparent Latch with Asynchronous Preset and gate Enable Artix-7 5-10 www.xilinx.com/university