EDA Vendor Support. Table 1 Alliance Program EDA Vendors. Actel s Alliance Partners. Company Contact Address

Size: px
Start display at page:

Download "EDA Vendor Support. Table 1 Alliance Program EDA Vendors. Actel s Alliance Partners. Company Contact Address"

Transcription

1 EDA Vendor Support This document describes the EDA environments that supports. This document also covers each of the -supported vendors. Within each vendor s tool set the features will be described that are supported by. Also listed are the software and computer requirements for integrating Designer Series with the EDA front-end tools. Table 1 Alliance Program EDA Vendors s Alliance Partners s Alliance program was established to assist EDA vendors in providing support for FPGAs. The Alliance program provides early technical information on new releases to all partners so they can offer timely support. Table 1 lists the complete set of EDA vendors that support the design of FPGAs. 2 Company Contact Address Acugen J. W. Brooks (603) Amherst St., Suite 391, Nashua, NH Aldec Stanley Hyduke (805) Old Conejo Road, Suite 111 Newbury Park, CA Cadence Itzhak Shapira (408) Seely Road, Bldg. 6, San Jose, CA Compass John Goldsworthy 1865 Lundy Ave., San Jose, CA (408) ext Escalade Mark Miller (408) Augustine Dr., 2nd Floor, Santa Clara, CA Exemplar Logic Mary Murphy (510) Atlantic Ave., Suite 105, Alameda, CA Intergraph Will Wong (415) East Evelyn Avenue, Mountain View, CA Isdata Ralph Remme Daimlerstr. 51, Karlsruhe, D-76185, Germany IST Gabriele Saucier Europole, 4 Place Robert Schuman Grenoble Cedex 1, France Logic Modeling Marnie McCollow (503) N.W. Gibbs Drive, Beaverton, OR (Synopsys) Logical Devices David Motarjemi (305) N.W. 65th Place, Ft. Lauderdale, FL Mentor Graphics Sam Picken (503) S.W. Boeckman Road, Wilsonville, OR Minc Wayne Merrill (719) Earl Drive, Colorado Springs, CO OrCAD Troy Scott (503) S.W. Nimbus, Beaverton, OR Quad Design Hector Lai (805) Del Norte Road, Camarillo, CA Simucad John Williamson (415) Alvarado-Niles Rd., Suite 744, Union City, CA Synario Design Dave Kohlmeiyer (206) Willows Road N.E., Redmond, WA Automation Synopsys Lynn Fiance (415) East Middlefield Road, Mountain View, CA Synplicity Alisa Yaffa (415) Fairchild Dr., Suite 115, Mountain View, CA Teradyne Bill Loring (617) Lincoln St., M/S L50, Boston, MA Veda Design Automation (formerly Genrad) Rastgow Shale (408) Mission College Blvd., Suite 259 Santa Clara, CA Viewlogic Dave Orecchio (508) Boston Post Road, Marlboro, MA Zuken Dwight Dagenais (408) Freedom Circle, Suite 1100, Santa Clara, CA April Corporation

2 Designer Series for Cadence Design Systems EDA Tools Concept RapidSIM Concept Verilog, RapidSIM Synergy Leapfrog Designer Series Development System ACTgen Pin Editor ChipEdit Compile Layout Standard/DirectTime ACTmap DirectTime Editor DT Analyzer & Back Annotation Activator 2 Activator 2s Data I/O Figure 1 The Cadence EDA Environment The Designer Series Development System for the Cadence Design Systems environment (see Figure 1) allows FPGAs with from 1,000 to 30,000 gates to be designed with Cadence s Concept (Logic Workbench) or Composer schematic capture tools. Designer Series provides libraries that support the design of ACT 1, the Integrator Series, and the Accelerator Series families of FPGAs. In addition, Designer Series provides ACTmap VHDL Synthesis (a complete VHDL synthesis and logic optimization tool) and ACTgen Macro Builder, which creates complex logic functions to match user-specified parameters. For Cadence, Designer Series supports the following combinations of schematic capture and simulation: Composer and Verilog XL Concept and RapidSIM Concept and Verilog These design flows allow schematics to be created using Composer or Concept. Designer Series products include netlisters that convert Composer or Concept schematics into a netlist format that Designer Series can accept. The netlist is then simulated with unit delays to verify the design functionally before running place and route. After place and route, actual module and net delays are backannotated to the netlist for timing simulation. s DirectTime Analyzer is used to perform static timing analysis on a design identifying critical path delays and performance deltas relative to design specifications. Once the implementation has met all timing requirements, the design can be programmed into an FPGA. s optional DirectTime Layout feature can be added to the basic Designer Series Cadence interface. DirectTime Layout supports specification-driven design. With DirectTime Layout, the system clock frequency or delay constraints for individual signals can be entered. After s standard place-and-route algorithm is executed, the results are shown in the DirectTime Analyzer. DirectTime Analyzer displays a comparison between required versus actual delays. DirectTime Layout can typically improve design performance by an amount equivalent to the next fastest speed grade. It makes performance-runtime trade-offs during placement and routing to achieve the requested timing. 2-18

3 EDA Vendor Support If the results of standard layout are substantially slower than the required timing, more aggressive measures are needed. The designer has the option of moving to a faster-speed-grade FPGA or even to a faster family. DirectTime Analyzer makes these decisions easy by clearly showing whether the target performance is reached and showing the distance between target and achieved when target is missed. There are many high-level design solutions that support in the Cadence environment. Cadence s Synergy and PIC Designer products as well as Synopsys s Design and FPGA Compilers all work within the Cadence environment. Synthesized blocks in any of these synthesis tools can be combined with schematics to support mixed-level design definition. Cadence provides libraries for the PIC Designer and Synergy synthesis products. s Synopsys synthesis libraries can be added to the Designer Series Development System to support Synopsys synthesis in the Cadence environment. also makes available Verilog and VITAL VHDL libraries so that designs captured in these languages can be simulated directly with Verilog XL or Leapfrog. The Designer Series Development System for Cadence provides a tight link between Cadence s suite of design capture and analysis tools and s FPGA implementation software. The integration of Designer Series with the Cadence tools provides a design environment that delivers high-performance, high-capacity FPGA solutions quickly. Combining the architecture with powerful place-and-route software provides short turnaround time for ECNs. Designer Series makes it easy to include custom logic in any product. Sun SPARC or HP 700 Hardware Requirements 64 MB RAM 125 MB DISK (executables), 5 MB DISK (per design) CD-ROM drive Software Requirements Sun OS or later, Solaris 5.3 or later, OR HP-UX 9.03 or later Version 9404 or later

4 Designer Series for Mentor Graphics EDA Tools Design Architect QuickSim II Autologic QuickVHDL Designer Series Development System ACTgen Pin Editor ChipEdit Compile Layout Standard/DirectTime ACTmap DirectTime Editor DT Analyzer & Back Annotation Activator 2 Activator 2s Data I/O Figure 2 The Mentor Graphics EDA Environment The Designer Series Development System for the Mentor Graphics environment (see Figure 2) allows FPGAs with from 1,000 to 30,000 gates to be designed with Mentor Graphics Design Architect. Designer Series provides libraries that support the design of ACT 1, the Integrator Series, and the Accelerator Series families of FPGAs. In addition, Designer Series provides ACTmap VHDL Synthesis (a complete VHDL synthesis and logic optimization tool) and ACTgen Macro Builder, which creates complex logic functions to match user-specified parameters. The design flow for Mentor Graphics allows schematics to be entered using Design Architect. Designer Series products include netlisters that convert Design Architect schematics into EDIF, which Designer Series can accept. The netlist is then simulated with unit delays to verify the design functionally before running place and route. After place and route, actual module and net delays can be backannotated to the netlist for timing simulation. s DirectTime Analyzer can be used to perform static timing analysis on a design identifying critical path delays and performance deltas relative to design specifications. Once the implementation has met all timing requirements, the design can be programmed into an FPGA. s optional DirectTime Layout feature can be added to the basic Designer Series Mentor Graphics interface. DirectTime Layout supports specification-driven design. With DirectTime Layout, the system clock frequency and delay constraints for individual signals can be entered. After s standard place-and-route algorithm is executed, the results are shown in DirectTime Analyzer. DirectTime Analyzer displays a comparison between required versus actual delays. DirectTime Layout can typically improve design performance by an amount equivalent to the next fastest speed grade. It makes performance-runtime trade-offs during placement and routing to achieve the requested timing. If the results of standard layout are substantially slower than the required timing, more aggressive measures are needed. The designer has the option of moving to a faster-speed-grade FPGA or even to a faster family. DirectTime Analyzer makes these decisions easy by clearly showing whether the target performance is reached and showing the distance between target and achieved when target is missed. There are many high-level design solutions that support in the Mentor Graphics environment. Mentor Graphics Autologic and Autologic II products as well as Synopsys s Design and FPGA Compilers all work within the Mentor 2-20

5 EDA Vendor Support Graphics environment. Synthesized blocks in any of these synthesis tools can be combined with schematics to support mixed-level design definition. Mentor Graphics provides the libraries for Autologic synthesis products. s Synopsys synthesis libraries can be added to the Designer Series Development System to support Synopsys synthesis in the Mentor Graphics environment. also makes available Verilog and VITAL VHDL libraries so that designs captured in these languages can be simulated directly with QuickSim or QuickVHDL. The Designer Series Development System for Mentor Graphics provides a tight link between the Mentor Graphics suite of design capture and analysis tools and s FPGA implementation software. The integration of Designer Series with the Mentor Graphics tools provides a design environment that delivers high-performance, high-capacity FPGA solutions quickly. Combining the architecture with powerful place-and-route software provides short turnaround time for ECNs. Designer Series makes it easy to include custom logic in any product. Sun SPARC or HP 700 Hardware Requirements 64 MB RAM 125 MB DISK (executables), 5 MB DISK (per design) CD-ROM drive Software Requirements Sun OS or later, Solaris 5.3 or later, OR HP-UX 9.03 or later Version 8.2_5 or later (A.1 recommended)

6 Designer Series for Viewlogic EDA Tools PRO Series PRO Capture PRO Sim PowerView ViewDraw ViewSim ViewSyn SpeedWave Designer Series Development System ACTgen Pin Editor ChipEdit Compile Layout Standard/DirectTime ACTmap DirectTime Editor DT Analyzer & Back Annotation Activator 2 Activator 2s Data I/O Figure 3 The Viewlogic EDA Environment The Designer Series Development System for the Viewlogic environment (see Figure 3) allows FPGAs with from 1,000 to 30,000 gates to be designed with Viewlogic s Workview Office or PowerView tools. Designer Series provides libraries that support the design of ACT 1, the Integrator Series, and the Accelerator Series families of FPGAs. In addition, Designer Series provides ACTmap VHDL Synthesis (a complete VHDL synthesis and logic optimization tool) and ACTgen Macro Builder, which creates complex logic functions to match user-specified parameters. For Viewlogic, Designer Series supports the following workstation-product combinations: 486 and Pentium PCs: Workview Office HP 700 and Sun workstations: PowerView These design flows allow schematics to be created using PowerView on the workstations or Workview Office on the PC. The Designer Series products include netlisters that convert PowerView or Workview Office schematics into an EDIF netlist that Designer Series can accept. The netlist is then simulated with unit delays to verify the design functionally before running place and route. After place and route, actual module and net delays are backannotated to the netlist for timing simulation. s DirectTime Analyzer is used to perform static timing analysis on a design identifying critical path delays and performance deltas relative to design specifications. Once the implementation has met all timing requirements, the design can be programmed into an FPGA. s optional DirectTime Layout feature can be added to the basic Designer Series Viewlogic interface. DirectTime Layout supports specification-driven design. With DirectTime Layout, the system clock frequency and delay constraints for individual signals can be entered. After s standard place-and-route algorithm is executed, the results are shown in DirectTime Analyzer. DirectTime Analyzer displays a comparison between required versus actual delays. DirectTime Layout can typically improve design performance by an amount equivalent to the next fastest speed grade. It makes performance-runtime trade-offs during placement and routing to achieve the requested timing. If the results of standard layout are substantially slower than the required timing, more aggressive measures are needed. The designer has the option of moving to a faster-speed-grade FPGA or even to a faster family. DirectTime Analyzer makes these decisions easy by clearly showing whether the target 2-22

7 EDA Vendor Support performance is reached and showing the distance between target and achieved when target is missed. There are many high-level design solutions that support in the Viewlogic environment. Viewlogic s ViewSynthesis as well as Synopsys s Design and FPGA Compilers all work within the Viewlogic environment. Synthesized blocks in any of these synthesis tools can be combined with schematics to support mixed-level design definition. Viewlogic provides libraries for the ViewSynthesis product. s Synopsys synthesis libraries can be added to the Designer Series Development System to support Synopsys synthesis in the Viewlogic environments. also makes available Verilog and VITAL VHDL libraries so that designs captured in these languages can be simulated directly with Chronologic or Speedwave. The Designer Series Development System for Viewlogic provides a tight link between Viewlogic s suite of design capture and analysis tools and s FPGA implementation software. The integration of Designer Series with the Viewlogic tools provides a design environment that delivers high-performance, high-capacity FPGA solutions quickly. Combining the architecture with powerful place-and-route software provides short turnaround time for ECNs. Designer Series makes it easy to include custom logic in any product. 486 or Pentium PC Hardware Requirements VGA, EGA graphics card 32 MB RAM 60 MB virtual disk 70 MB DISK (executables), 5 MB DISK (per design) CD-ROM drive Software Requirements Windows 3.1 or later, Windows NT or later, Windows 95 PROSeries 6.1 or later Workview Office 7.1 or later Sun SPARC or HP 700 Hardware Requirements 64 MB RAM 125 MB DISK (executables), 5 MB DISK (per design) CD-ROM drive Software Requirements Sun OS or later, Solaris 5.3 or later, OR HP-UX 9.03 or later PowerView 5.3 or later

8 Designer Series for Synopsys EDA Tools Synopsys Verilog HDL/VHDL Synthesis Designer Series Development System ACTgen Pin Editor ChipEdit Compile Layout Standard/DirectTime ACTmap DirectTime Editor DT Analyzer & Back Annotation Activator 2 Activator 2s Data I/O Figure 4 The Synopsys EDA Environment The Synopsys libraries support synthesis of FPGAs from Verilog HDL or VHDL. (See Figure 4.) Support for synthesis, DesignWare, and VHDL System Simulator (VSS) is included. The Synopsys libraries provide a complete top-down design solution. FPGA designs can be compiled with either the Design Compiler or the FPGA Compiler, with the FPGA Compiler yielding more efficient, high-performance FPGA implementations. The combination of s fine-grain, routing-resource-rich architecture and Synopsys s powerful synthesis program delivers high-performance, high-capacity FPGAs. The Synopsys libraries allow designs to be efficiently mapped to the architecture, taking complete advantage of all possible logic module functions. Design implementation efficiency is enhanced by the DesignWare library, which contains -optimized adders, counters, multiplexers, registers, and so on. These logic elements all can be instantiated into a high-level design description. Adders, comparators, and subtracters can be inferred from arithmetic operators that are used in the behavioral description. Synopsys has included -specific optimization, such as sequential mapping, which takes full advantage of the multiplexer-based, flip-flop-oriented FPGA architecture. The combination of Synopsys s optimizations for FPGAs and the optimized DesignWare logic elements generates designs that are typically within 5 percent of what can be achieved by laborious handcrafting. Simulation of the design with its surrounding test bench can be accomplished by using VSS and s VHDL libraries for Synopsys or by using any Verilog simulator and the separately available Verilog libraries. Both the Verilog and VHDL simulation libraries support preroute functional simulation to verify the design s functionality and accurate postroute timing simulation with backannotated (using SDF) actual delays. Timing simulation can be performed with best-case, worst-case, or typical delays to account for variations in voltage, process, and temperature. This accurate timing simulation can identify areas in which timing error is causing a design to malfunction. The Synopsys libraries support many of the FPGA-specific architectural feature, such as ACT 3 s complex I/O cells, 1200XL s wide-decode feature, and 3200DX s quad-clock and embedded RAM features. Synopsys s support of architectural specialties allows designers to maximize FPGA performance 2-24

9 EDA Vendor Support and usefulness by synthesizing a design that includes high-speed FIFOs or dual-port RAMs in a 32200DX device, or by targeting the I/O flip-flops of the ACT 3 family to locate a high-speed counter right on a data bus. The DesignWare libraries provide a strong advantage in the design of FPGAs. provides a synthetic library in DesignWare, which includes commonly used functions such as accumulators, adders, comparators, counters, decoders, multiplexers, and registers up to 32 bits wide. Each logic function can be created with a designer-selected combination of control signals. By taking advantage of the synthetic library, the VHDL or Verilog code can be fine-tuned for optimal implementation. These libraries are based upon s ACTgen Macro Builder parameterized macro generator, which allows designers to achieve performance comparable to schematic-drawn macros. DesignWare elements can be instantiated into the code or inferred by the compilers, resulting in an extremely efficient design process. Sun SPARC or HP 700 Hardware Requirements 64 MB RAM 125 MB DISK (executables), 5 MB DISK (per design) CD-ROM drive Software Requirements Sun OS or later, Solaris 5.3 or later, OR HP-UX 9.03 or later Synopsys 3.2b or later

10 2-26

Table 1. The relationship between courses and EDA tools (P: PC, M: MAC, U:Unix Workstation, T: Engineering Technology only).

Table 1. The relationship between courses and EDA tools (P: PC, M: MAC, U:Unix Workstation, T: Engineering Technology only). Table 1. The relationship between courses and EDA tools (P: PC, M: MAC, U:Unix Workstation, T: Engineering Technology only). Course Mentor Graphics U Viewlogic PowerView U / WorkView P,U Low Cost Tools

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

MAX+PLUS II. Introduction. Programmable Logic Development System & Software

MAX+PLUS II. Introduction. Programmable Logic Development System & Software MAX+PLUS II Programmable Logic Development System & Software January 1998, ver. 8 Data Sheet Introduction Ideally, a programmable logic design environment satisfies a large variety of design requirements:

More information

White Paper FPGA Performance Benchmarking Methodology

White Paper FPGA Performance Benchmarking Methodology White Paper Introduction This paper presents a rigorous methodology for benchmarking the capabilities of an FPGA family. The goal of benchmarking is to compare the results for one FPGA family versus another

More information

design Synopsys and LANcity

design Synopsys and LANcity Synopsys and LANcity LANcity Adopts Design Reuse with DesignWare to Bring Low-Cost, High-Speed Cable TV Modem to Consumer Market What does it take to redesign a commercial product for a highly-competitive

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

Design of a High Speed Communications Link Using Field Programmable Gate Arrays

Design of a High Speed Communications Link Using Field Programmable Gate Arrays Customer-Authored Application Note AC103 Design of a High Speed Communications Link Using Field Programmable Gate Arrays Amy Lovelace, Technical Staff Engineer Alcatel Network Systems Introduction A communication

More information

Engineering Change Order (ECO) Support in Programmable Logic Design

Engineering Change Order (ECO) Support in Programmable Logic Design White Paper Engineering Change Order (ECO) Support in Programmable Logic Design A major benefit of programmable logic is that it accommodates changes to the system specification late in the design cycle.

More information

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition A Tutorial Approach James O. Hamblen Georgia Institute of Technology Michael D. Furman Georgia Institute of Technology KLUWER ACADEMIC PUBLISHERS Boston

More information

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Clifford Wolf, Johann Glaser, Florian Schupfer, Jan Haase, Christoph Grimm Computer Technology /99 Overview Ultra-Low-Power

More information

System-on-Chip Design with Virtual Components

System-on-Chip Design with Virtual Components FEATURE ARTICLE Thomas Anderson System-on-Chip Design with Virtual Components Here in the Recycling Age, designing for reuse may sound like a great idea. But with increasing requirements and chip sizes,

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic Aims and Objectives E 3.05 Digital System Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk How to go

More information

PowerPlay Power Analysis & Optimization Technology

PowerPlay Power Analysis & Optimization Technology Quartus II Software Questions & Answers Following are the most frequently asked questions about the new features in Altera s Quartus II design software. PowerPlay Power Analysis & Optimization Technology

More information

Sentaurus Workbench Comprehensive Framework Environment

Sentaurus Workbench Comprehensive Framework Environment Data Sheet Comprehensive Framework Environment Overview is a complete graphical environment for creating, managing, executing, and analyzing TCAD simulations. Its intuitive graphical user interface allows

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

Chapter 13: Verification

Chapter 13: Verification Chapter 13: Verification Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008-2010,

More information

Rapid System Prototyping with FPGAs

Rapid System Prototyping with FPGAs Rapid System Prototyping with FPGAs By R.C. Coferand Benjamin F. Harding AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO Newnes is an imprint of

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical delivers superior quality

More information

VHDL Test Bench Tutorial

VHDL Test Bench Tutorial University of Pennsylvania Department of Electrical and Systems Engineering ESE171 - Digital Design Laboratory VHDL Test Bench Tutorial Purpose The goal of this tutorial is to demonstrate how to automate

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

Seeking Opportunities for Hardware Acceleration in Big Data Analytics

Seeking Opportunities for Hardware Acceleration in Big Data Analytics Seeking Opportunities for Hardware Acceleration in Big Data Analytics Paul Chow High-Performance Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Toronto Who

More information

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut.

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut. System-on on-chip Design Flow Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems jouni.tomberg@tut.fi 26.03.2003 Jouni Tomberg / TUT 1 SoC - How and with whom?

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Altera Error Message Register Unloader IP Core User Guide

Altera Error Message Register Unloader IP Core User Guide 2015.06.12 Altera Error Message Register Unloader IP Core User Guide UG-01162 Subscribe The Error Message Register (EMR) Unloader IP core (altera unloader) reads and stores data from the hardened error

More information

AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD)

AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD) AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD) Samuel Lakeou, University of the District of Columbia Samuel Lakeou received a BSEE (1974) and a MSEE (1976)

More information

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Datasheet -CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Overview -CV is an equivalence checker for full custom designs. It enables efficient comparison of a reference design

More information

VHDL GUIDELINES FOR SYNTHESIS

VHDL GUIDELINES FOR SYNTHESIS VHDL GUIDELINES FOR SYNTHESIS Claudio Talarico For internal use only 1/19 BASICS VHDL VHDL (Very high speed integrated circuit Hardware Description Language) is a hardware description language that allows

More information

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division Introduction to Programmable Logic Devices John Coughlan RAL Technology Department Detector & Electronics Division PPD Lectures Programmable Logic is Key Underlying Technology. First-Level and High-Level

More information

Fondamenti su strumenti di sviluppo per microcontrollori PIC

Fondamenti su strumenti di sviluppo per microcontrollori PIC Fondamenti su strumenti di sviluppo per microcontrollori PIC MPSIM ICE 2000 ICD 2 REAL ICE PICSTART Ad uso interno del corso Elettronica e Telecomunicazioni 1 2 MPLAB SIM /1 MPLAB SIM is a discrete-event

More information

IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR

IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR International Journal of Engineering & Science Research IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR ABSTRACT Pathik Gandhi* 1, Milan Dalwadi

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Getting Started with PCB Design Studio (Concept HDL Version) Product Version 14.2 January 2002

Getting Started with PCB Design Studio (Concept HDL Version) Product Version 14.2 January 2002 (Concept HDL Version) Product Version 14.2 January 2002 1999-2002 Cadence Design Systems, Inc. All rights reserved. Printed in the United States of America. Cadence Design Systems, Inc., 555 River Oaks

More information

Fastest Path to Your Design. Quartus Prime Software Key Benefits

Fastest Path to Your Design. Quartus Prime Software Key Benefits Q UA R T U S P R I M E D E S I G N S O F T WA R E Fastest Path to Your Design Quartus Prime software is number one in performance and productivity for FPGA, CPLD, and SoC designs, providing the fastest

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

High-Level Synthesis for FPGA Designs

High-Level Synthesis for FPGA Designs High-Level Synthesis for FPGA Designs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer consultant Cereslaan 10b 5384 VT Heesch

More information

INTRODUCTION TO DIGITAL SYSTEMS. IMPLEMENTATION: MODULES (ICs) AND NETWORKS IMPLEMENTATION OF ALGORITHMS IN HARDWARE

INTRODUCTION TO DIGITAL SYSTEMS. IMPLEMENTATION: MODULES (ICs) AND NETWORKS IMPLEMENTATION OF ALGORITHMS IN HARDWARE INTRODUCTION TO DIGITAL SYSTEMS 1 DESCRIPTION AND DESIGN OF DIGITAL SYSTEMS FORMAL BASIS: SWITCHING ALGEBRA IMPLEMENTATION: MODULES (ICs) AND NETWORKS IMPLEMENTATION OF ALGORITHMS IN HARDWARE COURSE EMPHASIS:

More information

Product Development Flow Including Model- Based Design and System-Level Functional Verification

Product Development Flow Including Model- Based Design and System-Level Functional Verification Product Development Flow Including Model- Based Design and System-Level Functional Verification 2006 The MathWorks, Inc. Ascension Vizinho-Coutry, avizinho@mathworks.fr Agenda Introduction to Model-Based-Design

More information

Reconfigurable Architecture Requirements for Co-Designed Virtual Machines

Reconfigurable Architecture Requirements for Co-Designed Virtual Machines Reconfigurable Architecture Requirements for Co-Designed Virtual Machines Kenneth B. Kent University of New Brunswick Faculty of Computer Science Fredericton, New Brunswick, Canada ken@unb.ca Micaela Serra

More information

White Paper Military Productivity Factors in Large FPGA Designs

White Paper Military Productivity Factors in Large FPGA Designs White Paper Introduction Changes in technology and requirements are leading to FPGAs playing larger roles in defense electronics designs, and consequently are creating both opportunities and risks. The

More information

Introduction to the Quartus II Software. Version 10.0

Introduction to the Quartus II Software. Version 10.0 Introduction to the Quartus II Software Version 10.0 Introduction to the Quartus II Software Altera Corporation 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Introduction to the

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit Modeling Sequential Elements with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 4-1 Sequential Circuit Outputs are functions of inputs and present states of storage elements

More information

PCB Project (*.PrjPcb)

PCB Project (*.PrjPcb) Project Essentials Summary The basis of every design captured in Altium Designer is the project. This application note outlines the different kinds of projects, techniques for working on projects and how

More information

Quartus Prime Standard Edition Handbook Volume 3: Verification

Quartus Prime Standard Edition Handbook Volume 3: Verification Quartus Prime Standard Edition Handbook Volume 3: Verification Subscribe QPS5V3 101 Innovation Drive San Jose, CA 95134 www.altera.com Simulating Altera Designs 1 QPS5V3 Subscribe This document describes

More information

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models System Development Models and Methods Dipl.-Inf. Mirko Caspar Version: 10.02.L.r-1.0-100929 Contents HW/SW Codesign Process Design Abstraction and Views Synthesis Control/Data-Flow Models System Synthesis

More information

A Verilog HDL Test Bench Primer Application Note

A Verilog HDL Test Bench Primer Application Note A Verilog HDL Test Bench Primer Application Note Table of Contents Introduction...1 Overview...1 The Device Under Test (D.U.T.)...1 The Test Bench...1 Instantiations...2 Figure 1- DUT Instantiation...2

More information

ModelSim-Altera Software Simulation User Guide

ModelSim-Altera Software Simulation User Guide ModelSim-Altera Software Simulation User Guide ModelSim-Altera Software Simulation User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01102-2.0 Document last updated for Altera Complete

More information

A Mixed-Signal System-on-Chip Audio Decoder Design for Education

A Mixed-Signal System-on-Chip Audio Decoder Design for Education A Mixed-Signal System-on-Chip Audio Decoder Design for Education R. Koenig, A. Thomas, M. Kuehnle, J. Becker, E.Crocoll, M. Siegel @itiv.uni-karlsruhe.de @ims.uni-karlsruhe.de

More information

Quality. Stages. Alun D. Jones

Quality. Stages. Alun D. Jones Quality - by Design Quality Design Review Stages Alun D. Jones Design Review Stages Design Review 0 (DR0) Pre-order & quotation stage Design Review 1 (DR1) Initial kick-off and preliminary specification

More information

A Platform for Visualizing Digital Circuit Synthesis with VHDL

A Platform for Visualizing Digital Circuit Synthesis with VHDL A Platform for Visualizing Digital Circuit Synthesis with VHDL Abdulhadi Shoufan shoufan@iss.tudarmstadt.de Zheng Lu zheng@iss.tudarmstadt.de Technische Universität Darmstadt Dept. of Computer Science

More information

The WIMP51: A Simple Processor and Visualization Tool to Introduce Undergraduates to Computer Organization

The WIMP51: A Simple Processor and Visualization Tool to Introduce Undergraduates to Computer Organization The WIMP51: A Simple Processor and Visualization Tool to Introduce Undergraduates to Computer Organization David Sullins, Dr. Hardy Pottinger, Dr. Daryl Beetner University of Missouri Rolla Session I.

More information

A Utility for Leakage Power Recovery within PrimeTime 1 SI

A Utility for Leakage Power Recovery within PrimeTime 1 SI within PrimeTime 1 SI Bruce Zahn LSI Corporation Bruce.Zahn@lsi.com ABSTRACT This paper describes a utility which is run within the PrimeTime SI signoff environment that recovers leakage power and achieves

More information

SDLC Controller. Documentation. Design File Formats. Verification

SDLC Controller. Documentation. Design File Formats. Verification January 15, 2004 Product Specification 11 Stonewall Court Woodcliff Lake, NJ 07677 USA Phone: +1-201-391-8300 Fax: +1-201-391-8694 E-mail: info@cast-inc.com URL: www.cast-inc.com Features AllianceCORE

More information

HDL Simulation Framework

HDL Simulation Framework PPC-System.mhs CoreGen Dateien.xco HDL-Design.vhd /.v SimGen HDL Wrapper Sim-Modelle.vhd /.v Platgen Coregen XST HDL Simulation Framework RAM Map Netzliste Netzliste Netzliste UNISIM NetGen vcom / vlog.bmm.ngc.ngc.ngc

More information

Quartus II Introduction for VHDL Users

Quartus II Introduction for VHDL Users Quartus II Introduction for VHDL Users This tutorial presents an introduction to the Quartus II software. It gives a general overview of a typical CAD flow for designing circuits that are implemented by

More information

Printed Circuit Board Design with HDL Designer

Printed Circuit Board Design with HDL Designer Printed Circuit Board Design with HDL Designer Tom Winkert Teresa LaFourcade NASNGoddard Space Flight Center 301-286-291 7 NASNGoddard Space Flight Center 301-286-0019 tom.winkert8 nasa.gov teresa. 1.

More information

Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design

Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design Department of Electrical and Computer Engineering Overview The VLSI Design program is part of two tracks in the department:

More information

IL2225 Physical Design

IL2225 Physical Design IL2225 Physical Design Nasim Farahini farahini@kth.se Outline Physical Implementation Styles ASIC physical design Flow Floor and Power planning Placement Clock Tree Synthesis Routing Timing Analysis Verification

More information

Quartus II Handbook Volume 3: Verification

Quartus II Handbook Volume 3: Verification Quartus II Handbook Volume 3: Verification Subscribe QII5V3 2015.05.04 101 Innovation Drive San Jose, CA 95134 www.altera.com Simulating Altera Designs 1 2015.05.04 QII5V3 Subscribe This document describes

More information

Basics of Simulation Technology (SPICE), Virtual Instrumentation and Implications on Circuit and System Design

Basics of Simulation Technology (SPICE), Virtual Instrumentation and Implications on Circuit and System Design Basics of Simulation Technology (SPICE), Virtual Instrumentation and Implications on Circuit and System Design Patrick Noonan Business Development Manager National Instruments Electronics Workbench Group

More information

BUILD VERSUS BUY. Understanding the Total Cost of Embedded Design. www.ni.com/buildvsbuy

BUILD VERSUS BUY. Understanding the Total Cost of Embedded Design. www.ni.com/buildvsbuy BUILD VERSUS BUY Understanding the Total Cost of Embedded Design Table of Contents I. Introduction II. The Build Approach: Custom Design a. Hardware Design b. Software Design c. Manufacturing d. System

More information

Quartus II Software and Device Support Release Notes Version 15.0

Quartus II Software and Device Support Release Notes Version 15.0 2015.05.04 Quartus II Software and Device Support Release Notes Version 15.0 RN-01080-15.0.0 Subscribe This document provides late-breaking information about the Altera Quartus II software release version

More information

EXPERIMENT 8. Flip-Flops and Sequential Circuits

EXPERIMENT 8. Flip-Flops and Sequential Circuits EXPERIMENT 8. Flip-Flops and Sequential Circuits I. Introduction I.a. Objectives The objective of this experiment is to become familiar with the basic operational principles of flip-flops and counters.

More information

Feature EPM5032 EPM5064 EPM5128 EPM5130 EPM5192

Feature EPM5032 EPM5064 EPM5128 EPM5130 EPM5192 MAX 5000 Programmable Logic Device Family May 1999, ver. 5 Data Sheet Features... Advanced Multiple Array MatriX (MAX ) 5000 architecture combining speed and ease-of-use of PAL devices with the density

More information

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com.

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com. White Paper FPGA Prototyping of System-on-Chip Designs The Need for a Complete Prototyping Platform for Any Design Size, Any Design Stage with Enterprise-Wide Access, Anytime, Anywhere S2C Inc. 1735 Technology

More information

FPGAs for High-Performance DSP Applications

FPGAs for High-Performance DSP Applications White Paper FPGAs for High-Performance DSP Applications This white paper compares the performance of DSP applications in Altera FPGAs with popular DSP processors as well as competitive FPGA offerings.

More information

University of St. Thomas ENGR 230 ---- Digital Design 4 Credit Course Monday, Wednesday, Friday from 1:35 p.m. to 2:40 p.m. Lecture: Room OWS LL54

University of St. Thomas ENGR 230 ---- Digital Design 4 Credit Course Monday, Wednesday, Friday from 1:35 p.m. to 2:40 p.m. Lecture: Room OWS LL54 Fall 2005 Instructor Texts University of St. Thomas ENGR 230 ---- Digital Design 4 Credit Course Monday, Wednesday, Friday from 1:35 p.m. to 2:40 p.m. Lecture: Room OWS LL54 Lab: Section 1: OSS LL14 Tuesday

More information

Lab 1: Introduction to Xilinx ISE Tutorial

Lab 1: Introduction to Xilinx ISE Tutorial Lab 1: Introduction to Xilinx ISE Tutorial This tutorial will introduce the reader to the Xilinx ISE software. Stepby-step instructions will be given to guide the reader through generating a project, creating

More information

MAX+PLUS II GETTING STARTED

MAX+PLUS II GETTING STARTED MAX+PLUS II GETTING STARTED MAX+PLUS II Programmable Logic Development System Getting Started Altera Corporation 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 MAX+PLUS II Getting Started Version

More information

VHDL-Testbench as Executable Specification

VHDL-Testbench as Executable Specification VHDL- as Executable Specification Michael Pichler Zentrum für Mikroelektronik Aargau Fachhochschule Aargau, Steinackerstrasse 5, CH-5210 Windisch Web: www.zma.ch - E-mail: m.pichler@zma.ch Seite 1 Overview

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

Verilog. Simulation Guide

Verilog. Simulation Guide Verilog Simulation Guide Verilog Simulation Guide Table of Contents Introduction...................................................................... 3 Document Assumptions......................................................................

More information

Efficient Teaching of Digital Design with Automated Assessment and Feedback

Efficient Teaching of Digital Design with Automated Assessment and Feedback Efficient Teaching of Digital Design with Automated Assessment and Feedback 1 Paul W. Nutter, Member, IEEE, 2 Vasilis F. Pavlidis, Member, IEEE, and 2 Jeffrey Pepper 1 Nano Engineering and Storage Technology

More information

Design-Kits, Libraries & IPs

Design-Kits, Libraries & IPs Design-Kits, Libraries & IPs Supported CAD tools Design-kits overview Digital, Analog, and RF Libraries IPs Supported CAD tools Design-kits overview ST 65nm Tanner PDK Standard cell Libraries IPs austriamicrosystems

More information

A Practical One-Semester VLSI Design Course for Computer Science (and Other) Majors

A Practical One-Semester VLSI Design Course for Computer Science (and Other) Majors A Practical One-Semester VLSI Design Course for Computer Science (and Other) Majors Robert A. Walker Kent State University Department of Math and Computer Science Kent, OH 44242 walker@mcs.kent.edu Abstract

More information

Introduction. Jim Duckworth ECE Department, WPI. VHDL Short Course - Module 1

Introduction. Jim Duckworth ECE Department, WPI. VHDL Short Course - Module 1 VHDL Short Course Module 1 Introduction Jim Duckworth ECE Department, WPI Jim Duckworth, WPI 1 Topics Background to VHDL Introduction to language Programmable Logic Devices CPLDs and FPGAs FPGA architecture

More information

PADS PCB Design Solutions The standard in desktop PCB design

PADS PCB Design Solutions The standard in desktop PCB design PADS PCB Design Solutions The standard in desktop PCB design PCB Flow D A T A S H E E T Major product benefits = Proven, reliable PCB design technology = Powerful, yet easy-to-use = Scalable to grow as

More information

AES1. Ultra-Compact Advanced Encryption Standard Core. General Description. Base Core Features. Symbol. Applications

AES1. Ultra-Compact Advanced Encryption Standard Core. General Description. Base Core Features. Symbol. Applications General Description The AES core implements Rijndael encoding and decoding in compliance with the NIST Advanced Encryption Standard. Basic core is very small (start at 800 Actel tiles). Enhanced versions

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton Dept. of Electrical and Computer Engineering University of British Columbia bradq@ece.ubc.ca

More information

LogiCORE IP AXI Performance Monitor v2.00.a

LogiCORE IP AXI Performance Monitor v2.00.a LogiCORE IP AXI Performance Monitor v2.00.a Product Guide Table of Contents IP Facts Chapter 1: Overview Target Technology................................................................. 9 Applications......................................................................

More information

Serial port interface for microcontroller embedded into integrated power meter

Serial port interface for microcontroller embedded into integrated power meter Serial port interface for microcontroller embedded into integrated power meter Mr. Borisav Jovanović, Prof. dr. Predrag Petković, Prof. dr. Milunka Damnjanović, Faculty of Electronic Engineering Nis, Serbia

More information

ATMEL FPGA 3rd User Group Workshop. 2010, 3rd June Christophe POURRIER

ATMEL FPGA 3rd User Group Workshop. 2010, 3rd June Christophe POURRIER ATMEL FPGA 3rd User Group Workshop 2010, 3rd June Christophe POURRIER Summary Sodern first experience with AT40K Megha-Tropiques Project PHARAO Project ATF280 Evaluation Tests performed on the first development

More information

10-/100-Mbps Ethernet Media Access Controller (MAC) Core

10-/100-Mbps Ethernet Media Access Controller (MAC) Core 10-/100-Mbps Ethernet Media Access Controller (MAC) Core Preliminary Product Brief December 1998 Description The Ethernet Media Access Controller (MAC) core is a high-performance core with a low gate count,

More information

LMS is a simple but powerful algorithm and can be implemented to take advantage of the Lattice FPGA architecture.

LMS is a simple but powerful algorithm and can be implemented to take advantage of the Lattice FPGA architecture. February 2012 Introduction Reference Design RD1031 Adaptive algorithms have become a mainstay in DSP. They are used in wide ranging applications including wireless channel estimation, radar guidance systems,

More information

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification Advanced design simulation for fast and accurate verification The Cadence Virtuoso Analog Design Environment family of products provides a comprehensive array of capabilities for the electrical analysis

More information

PADS PCB Design Solutions

PADS PCB Design Solutions start smarter D A T A S H E E T PADS PCB Design Solutions The standard in desktop PCB design FEATURES AND BENEFITS: Easy to learn and use Proven technology for PCB design, analysis, and verification Accurately

More information

A New, High-Performance, Low-Power, Floating-Point Embedded Processor for Scientific Computing and DSP Applications

A New, High-Performance, Low-Power, Floating-Point Embedded Processor for Scientific Computing and DSP Applications 1 A New, High-Performance, Low-Power, Floating-Point Embedded Processor for Scientific Computing and DSP Applications Simon McIntosh-Smith Director of Architecture 2 Multi-Threaded Array Processing Architecture

More information

A First Course in Digital Design Using VHDL and Programmable Logic

A First Course in Digital Design Using VHDL and Programmable Logic A First Course in Digital Design Using VHDL and Programmable Logic Shawki Areibi Abstract Present industry practice has created a high demand for systems designers with knowledge and experience in using

More information

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS WWW.VONBRAUNLABS.COM Issue #1 VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS State Machine Technology IoT Solutions Learn

More information

Introduction to Digital Design Using Digilent FPGA Boards Block Diagram / Verilog Examples

Introduction to Digital Design Using Digilent FPGA Boards Block Diagram / Verilog Examples Introduction to Digital Design Using Digilent FPGA Boards Block Diagram / Verilog Examples Richard E. Haskell Darrin M. Hanna Oakland University, Rochester, Michigan LBE Books Rochester Hills, MI Copyright

More information

Design Cycle for Microprocessors

Design Cycle for Microprocessors Cycle for Microprocessors Raúl Martínez Intel Barcelona Research Center Cursos de Verano 2010 UCLM Intel Corporation, 2010 Agenda Introduction plan Architecture Microarchitecture Logic Silicon ramp Types

More information

Life Cycle of a Memory Request. Ring Example: 2 requests for lock 17

Life Cycle of a Memory Request. Ring Example: 2 requests for lock 17 Life Cycle of a Memory Request (1) Use AQR or AQW to place address in AQ (2) If A[31]==0, check for hit in DCache Ring (3) Read Hit: place cache word in RQ; Write Hit: replace cache word with WQ RDDest/RDreturn

More information

Verification of Triple Modular Redundancy (TMR) Insertion for Reliable and Trusted Systems

Verification of Triple Modular Redundancy (TMR) Insertion for Reliable and Trusted Systems Verification of Triple Modular Redundancy (TMR) Insertion for Reliable and Trusted Systems Melanie Berg 1, Kenneth LaBel 2 1.AS&D in support of NASA/GSFC Melanie.D.Berg@NASA.gov 2. NASA/GSFC Kenneth.A.LaBel@NASA.gov

More information

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit 1 Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENT OF FOR THE DEGREE IN Bachelor of Technology In Electronics and Communication

More information

SmartGen, FlashROM, Analog System Builder, and Flash Memory System Builder. v8.4 User s Guide

SmartGen, FlashROM, Analog System Builder, and Flash Memory System Builder. v8.4 User s Guide SmartGen, FlashROM, Analog System Builder, and Flash Memory System Builder v8.4 User s Guide Actel Corporation, Mountain View, CA 94043 2008 Actel Corporation. All rights reserved. Printed in the United

More information