Extreme Ultraviolet Resist Outgassing and Its Effect on Nearby Optics

Size: px
Start display at page:

Download "Extreme Ultraviolet Resist Outgassing and Its Effect on Nearby Optics"

Transcription

1 Extreme Ultraviolet Resist Outgassing and Its Effect on Nearby Optics Rashi Garg College of Nanoscale Science and Engineering State University of New York, Albany June 11, International Workshop on EUV Lithography

2 Outline Degradation of EUV projection optics by loss in reflectivity Mechanisms involved Sources of this contamination EUV Resist Outgassing and exposure (ROX) system EUV resist outgassing results with a mass spectrometer Contamination results from injection of known resist outgassing species and effect on contamination rate of mirrors during exposure Witness plate experiments for resist outgassing measurements Chamber cleaning results with glow discharge plasma to reduce amount of contamination due to vacuum chamber 2

3 Reflective mask Capping layer EUV Reflective Optics 6º Mo-Si multilayer Projection optics Wafer Reflectivity (arb. units) Wavelength (nm) Lifetime of optics without capping layer is very short due to oxidation Maximum reflectivity of about 70% is achieved with Mo/Si multilayers at 13.5 nm 3

4 Contamination from resist outgassing Capping layer (Ru, Si, TiO 2 ) Resist hydrocarbons H 2 O Mo-Si multilayer optics EUV Water molecules and hydrocarbons from the vacuum chamber Resist Outgassing Wafer with resist Contamination of optics by resist outgassing leads to drop in reflectivity 4

5 Optics contamination: Mechanisms EUV EUV C x H y H 2 O e - e e- - e - e - e - Surface carbon growth Reversible Sub-surface oxidation Irreversible Carbon contamination results in surface carbon growth Water vapor environment results in sub-surface oxidation Mechanism of contamination may be dominated by either Photon dissociation Secondary electron dissociation 5

6 Role of Secondary Electron Yield (SEY) in optics contamination Theoretical results Secondary electron flux from a surface * I SE = 1 2 I 0 hν z M z μ e z z L dz 92.5 ev δ D hνl M C μc LRuM Ruμ 2 LC M C μc D exp L D + 1 exp L = C Ru Ru C hν : incident photon energy µ Ru, µ C : photoabsorption cross-section M Ru, M C : electron multiplication factors L Ru, L C : secondary electron escape depth D : thickness of growing hydrocarbon SEY for Ru dominates C around 92.5 ev If secondary electrons dominate the contamination process, then rate of growth on clean Ru may be faster than for carbon contaminated surface *J. Hollenshead and L. Klebanoff, "Modeling radiation-induced carbon contamination of extreme ultraviolet optics", J. Vac. Sci. Technol. B 24, (2006) 6

7 Resist outgassing is one of the a major concerns for optics contamination Detailed measurement is needed for Rate of outgassing of each species from resist Detailed understanding is needed for Rate of contamination of each outgassed species 7

8 EUV-ROX System EUV Resist Outgassing and exposure System Load Lock Zr/Si foil Loadlock Quadrupole Mass Spectrometer Sample EUV Quadrupole Mass spectrometer Injection of calibration species EUV Source 8

9 Chamber Resist outgassing background as measured by mass spectrometer CO+N 2 H 2 O CO+N 2 Benzene (C 6 H 6 ) CO 2 Diphenyl sulfide (C 12 H 10 S) Courtesy Prof. R. Brainard 9

10 What outgases from photoresist? K. Dean, G. Denbeaux, A. Wüest, R. Garg, EUV Resist Outgassing: How Much is Too Much?, Journal of Photopolymer Science and Technology, Vol. 20, pp (2007). 10

11 Chosen species for injection and exposure of mirrors to measure contamination Intended to represent known or similar structures that may outgas from resists 11

12 Contamination Studies of Injected Species We have directly injected a few species known to outgas from resist at high concentrations of approximately 1x10-6 Torr (about 100x higher pressure than during outgassing experiments) Benzene Tert-butanol Diphenyl Sulfide Then, we exposed a mirror to >30 J/cm 2 hydrocarbon environments (8 hours) in these high At these high pressures and modest doses, we can not measure reflectivity loss above the measurement accuracy We have yet to identify any of the outgassed species from resist that contribute significantly to optics contamination! 12

13 Reflectivity results due to contamination from these species Chamber Conditions Clean (background) Chamber Pressure (Torr) Exposure time (hours) Total Dose (J/cm 2 ) Number of pulses (millions) Reflectivity drop (ΔR/R%) 2.5 x Benzene 1 x Tert-Butanol 3 x Diphenyl Sulfide 1 x Diphenyl Sulfide 1 x Diphenyl Sulfide 1 x No significant reflectivity loss for these species at these pressures and doses 13

14 Optics contamination: Experimental configuration Two set of experiments done: Witness plate: The optics exposed to EUV in presence of resist sample Control witness plate: The optics exposed to EUV with no resist sample Optics: Mo/Si mirror at 6 degrees to the incident light Witness Control Plate Optics EUV Zr/Si Filter Resist sample Resist sample Energetiq Xenon Plasma EUV Source Vacuum Chamber 14

15 Glow Discharge Plasma Chamber Cleaning Partial Pressure (Torr) 1.00E E E E E-12 H2O CO,N2 43 CO Argon oxygen plasma cleaning at ~20 mtorr for 1 hour caused a drop in the mass spectrometer scan for high mass species E E E Mass number (amu) Before Cleaning 1.7e-8 Torr After Cleaning 1.9e-8 Torr 15

16 Witness Plate and Control Mirror Results Dose on mirror (J/cm2) Before chamber cleaning, there were large reflectivity losses and a wide spread in results After chamber cleaning, the results were improved The effect of the resist was subtle compared to chamber effects Reflectivity Loss dr/r Prior to chamber clean After chamber clean After Chamber Clean Pre Control After Chamber Clean Post Control After Chamber Clean Resist A Post Control High outgassing resist Pre Control - Bad filter 16

17 After Exposure and Reflectivity Loss, XPS Shows Primarily Carbon Unexposed Witness Plate Exposed Witness Plate Shows primarily an increase in carbon Si Mo C Si Mo Atomic % O C Atomic % O Thickness (nm) Thickness (nm) XPS with sputtering to look at materials through sample thickness 17

18 Conclusions Outgassing with a mass spectrometer works routinely However, without an understanding of which species are likely (if any) to contaminate optics, interpretation of the results for each resist is a challenge Witness plate work will provide a more direct understanding of the danger of each resist to the optics However, the current test has a low signal from the resist and a relatively high level of contamination due to the chamber so it is slow and challenging The hydrocarbon species injected into the system directly (so far) do not show large contamination There are bad components and there are bad chambers, but it is a challenge to see any effect of resist outgassing causing the contamination Either by injecting the outgassed species Or by witness plate exposures of mirrors near exposed resist 18

19 CNSE SEMATECH ASML Qimonda AMD IBM Acknowledgements Chimaobi Mbanaso, Justin Waterman, Leonid Yankulin, Alin Antohe, Yu-Jen Fan, Warren Montgomery, Robert Brainard, Greg Denbeaux Kim Dean, Kevin Orvek, Andrea Wüest Bill Pierson, Thomas Laursen, Sang-In Han, Robert Routh, Kevin Cummings Yayi Wei Obert Wood Chiew-Seng Koay CXRO, Berkeley Eric Gullikson, Andy Aquila NIST DESY Charles Tarrio, Steven Grantham Saša Bajt 19

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

Techniques for removal of contamination from EUVL mask without surface damage

Techniques for removal of contamination from EUVL mask without surface damage Techniques for removal of contamination from EUVL mask without surface damage Sherjang Singh a*, Ssuwei Chen a, Tobias Wähler b, Rik Jonckheere c Ted Liang d, Robert J. Chen d, Uwe Dietze a a HamaTech

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

Reactive Sputtering Using a Dual-Anode Magnetron System

Reactive Sputtering Using a Dual-Anode Magnetron System Reactive Sputtering Using a Dual-Anode Magnetron System A. Belkind and Z. Zhao, Stevens Institute of Technology, Hoboken, NJ; and D. Carter, G. McDonough, G. Roche, and R. Scholl, Advanced Energy Industries,

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

EUV Source Technology: Challenges and Status

EUV Source Technology: Challenges and Status Chapter 1 EUV Source Technology: Challenges and Status Vivek Bakshi Contents 1.1 Introduction 4 1.2 Conversion Efficiency of EUV Sources 4 1.2.1 DPP versus LPP 4 1.2.2 Xe, Sn, and Li conversion efficiency

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Coating Thickness and Composition Analysis by Micro-EDXRF

Coating Thickness and Composition Analysis by Micro-EDXRF Application Note: XRF Coating Thickness and Composition Analysis by Micro-EDXRF www.edax.com Coating Thickness and Composition Analysis by Micro-EDXRF Introduction: The use of coatings in the modern manufacturing

More information

Cymer s Light Source Development for EUV Lithography. Bob Akins, Chairman & CEO

Cymer s Light Source Development for EUV Lithography. Bob Akins, Chairman & CEO Cymer s Light Source Development for EUV Lithography Bob Akins, Chairman & CEO Outline Introduction EUVL critical issues EUV sources Cymer Source Development Overview Power scaling Technology Outlook January

More information

Using the Normalized Image Log-Slope, part 3

Using the Normalized Image Log-Slope, part 3 T h e L i t h o g r a p h y E x p e r t (Summer 2001) Using the Normalized Image Log-Slope, part 3 Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in parts 1 and 2

More information

Mask Cleaning Processes and Challenges

Mask Cleaning Processes and Challenges Mask Cleaning Processes and Challenges Brian J. Grenon Grenon Consulting, Inc. 92 Dunlop Way Colchester, VT 05446 Phone: 802-862-4551 Fax: 802-658-8952 e-mail bgrenon@together.net Mask Supply Workshop

More information

Short overview of TEUFEL-project

Short overview of TEUFEL-project Short overview of TEUFEL-project ELAN-meeting may 2004 Frascati (I) Contents Overview of TEUFEL project at Twente Photo cathode research Recent experience Outlook Overview FEL Drive laser Photo cathode

More information

Secondary Ion Mass Spectrometry

Secondary Ion Mass Spectrometry Secondary Ion Mass Spectrometry A PRACTICAL HANDBOOK FOR DEPTH PROFILING AND BULK IMPURITY ANALYSIS R. G. Wilson Hughes Research Laboratories Malibu, California F. A. Stevie AT&T Bell Laboratories Allentown,

More information

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS Vojtěch SVATOŠ 1, Jana DRBOHLAVOVÁ 1, Marian MÁRIK 1, Jan PEKÁREK 1, Jana CHOMOCKÁ 1,

More information

Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition

Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition Applied Surface Science 186 2002) 453±457 Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition Lianne M. Doeswijk a,*, Hugo H.C. de Moor b, Horst Rogalla a, Dave

More information

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Songlin Xu, a Ce Qin, Li Diao, Dave Gilbert, Li Hou, and Allan Wiesnoski Mattson Technology, Inc., Fremont,

More information

WHITEPAPER ENHANCED REACTIVELY SPUTTERED AL 2 O 3 DEPOSITION BY ADDITION OF ACTIVATED REACTIVE OXYGEN

WHITEPAPER ENHANCED REACTIVELY SPUTTERED AL 2 O 3 DEPOSITION BY ADDITION OF ACTIVATED REACTIVE OXYGEN WHITEPAPER By D. Carter and G. McDonough of Advanced Energy Industries, Inc. ENHANCED REACTIVELY The impact of preactivation of oxygen in the reactive sputter deposition of Al 2 O 3 is investigated. Oxygen,

More information

3 - Atomic Absorption Spectroscopy

3 - Atomic Absorption Spectroscopy 3 - Atomic Absorption Spectroscopy Introduction Atomic-absorption (AA) spectroscopy uses the absorption of light to measure the concentration of gas-phase atoms. Since samples are usually liquids or solids,

More information

Modification of Graphene Films by Laser-Generated High Energy Particles

Modification of Graphene Films by Laser-Generated High Energy Particles Modification of Graphene Films by Laser-Generated High Energy Particles Elena Stolyarova (Polyakova), Ph.D. ATF Program Advisory and ATF Users Meeting April 2-3, 2009, Berkner Hall, Room B, BNL Department

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

Chemical dry etching of silicon nitride and silicon dioxide using CF 4 /O 2 /N 2 gas mixtures

Chemical dry etching of silicon nitride and silicon dioxide using CF 4 /O 2 /N 2 gas mixtures Chemical dry etching of silicon nitride and silicon dioxide using CF 4 /O 2 /N 2 gas mixtures B. E. E. Kastenmeier, a) P. J. Matsuo, J. J. Beulens, and G. S. Oehrlein b) Department of Physics, The University

More information

How compact discs are made

How compact discs are made How compact discs are made Explained by a layman for the laymen By Kevin McCormick For Science project at the Mountain View Los Altos High School Abstract As the major media for music distribution for

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

The photoionization detector (PID) utilizes ultraviolet

The photoionization detector (PID) utilizes ultraviolet Chapter 6 Photoionization Detectors The photoionization detector (PID) utilizes ultraviolet light to ionize gas molecules, and is commonly employed in the detection of volatile organic compounds (VOCs).

More information

16th International Toki Conference on Advanced Imaging and Plasma Diagnostics

16th International Toki Conference on Advanced Imaging and Plasma Diagnostics 16th International Toki Conference on Advanced Imaging and Plasma Diagnostics Temperature Diagnostics for Field-Reversed Configuration Plasmas on the Pulsed High Density (PHD) Experiment Hiroshi Gota,

More information

Contamination Transport from Wafer to Lens

Contamination Transport from Wafer to Lens Contamination Transport from Wafer to Lens Immersion Lithography Symposium August 4, 2004 Greg Nellis, Roxann Engelstad, Edward Lovell, Alex Wei, Mohamed El-Morsi Computational Mechanics Center, University

More information

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 16, No. 2, pp. 90-94, April 25, 2015 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2015.16.2.90 OAK Central:

More information

How To Analyze Plasma With An Inductively Coupled Plasma Mass Spectrometer

How To Analyze Plasma With An Inductively Coupled Plasma Mass Spectrometer What is ICP-MS? and more importantly, what can it do? Inductively Coupled Plasma Mass Spectrometry or ICP-MS is an analytical technique used for elemental determinations. The technique was commercially

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting

Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting 3G Solar Technologies Multidisciplinary Workshop MRS Spring Meeting San Francisco, CA, 5 April 2010 Michael P.

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Vacuum Pumping of Large Vessels and Modelling of Extended UHV Systems

Vacuum Pumping of Large Vessels and Modelling of Extended UHV Systems Vacuum Pumping of Large Vessels and Modelling of Extended UHV Systems Georgy L. Saksaganski D.V. Efremov Institute, St Petersburg, Russia gruss@niiefa.spb.su An overview of the methods for reducing of

More information

... complement Information for Processing

... complement Information for Processing AZ nlof 2xx Negative Resist... complement Information for Processing revised 25--7 General Information AZ nlof 2xx is a family of negative s, with the exposed remaining on the substrate after development.

More information

ASML EUV Program. Jos Benschop Vice President System Engineering & Research. <file name> <version 00> <author> / Slide 1

ASML EUV Program. Jos Benschop Vice President System Engineering & Research. <file name> <version 00> <author> / Slide 1 ASML EUV Program Jos Benschop Vice President System Engineering & Research / Slide 1 Agenda ASML EUV tool roadmap Progress in key risk areas Source Optics Mask handling

More information

bulk 5. Surface Analysis Why surface Analysis? Introduction Methods: XPS, AES, RBS

bulk 5. Surface Analysis Why surface Analysis? Introduction Methods: XPS, AES, RBS 5. Surface Analysis Introduction Methods: XPS, AES, RBS Autumn 2011 Experimental Methods in Physics Marco Cantoni Why surface Analysis? Bulk: structural function Electrical/thermal conduction Volume increases

More information

Development of the Extreme Ultraviolet Spectrometer: EXCEED

Development of the Extreme Ultraviolet Spectrometer: EXCEED Development of the Extreme Ultraviolet Spectrometer: EXCEED Go Murakami*, Kazuo Yoshioka, Atsushi Yamazaki, Tomoki Kimura Institute of Space and Astronautical Science, Japan Aerospace Exploration Agency

More information

Reprint (R22) Avoiding Errors in UV Radiation Measurements. By Thomas C. Larason July 2001. Reprinted from Photonics Spectra, Laurin Publishing

Reprint (R22) Avoiding Errors in UV Radiation Measurements. By Thomas C. Larason July 2001. Reprinted from Photonics Spectra, Laurin Publishing Reprint (R22) Avoiding Errors in UV Radiation Measurements By Thomas C. Larason July 2001 Reprinted from Photonics Spectra, Laurin Publishing Gooch & Housego 4632 36 th Street, Orlando, FL 32811 Tel: 1

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

2 Absorbing Solar Energy

2 Absorbing Solar Energy 2 Absorbing Solar Energy 2.1 Air Mass and the Solar Spectrum Now that we have introduced the solar cell, it is time to introduce the source of the energy the sun. The sun has many properties that could

More information

EASIDEW PORTABLE HYGROMETER INSTALLATION, OPERATION AND MAINTENANCE MANUAL

EASIDEW PORTABLE HYGROMETER INSTALLATION, OPERATION AND MAINTENANCE MANUAL EASIDEW PORTABLE HYGROMETER INSTALLATION, OPERATION AND MAINTENANCE MANUAL Issue February 2004 2 TABLE OF CONTENTS SECTION PAGE 1. INTRODUCTION 3 1.1 General 3 1.2 Ceramic Sensing Element 3 1.3 Calibration

More information

Wipe Analysis to Determine Metal Contamination on Critical Surfaces

Wipe Analysis to Determine Metal Contamination on Critical Surfaces By Albert Dato, Ph.D., Warren York, Jennifer Jew, Laarni Huerta, Brice Norton, and Michael Coste On-wafer metallic contamination is detrimental to the fabrication and performance of semiconductor devices.

More information

Electrical tests on PCB insulation materials and investigation of influence of solder fillets geometry on partial discharge

Electrical tests on PCB insulation materials and investigation of influence of solder fillets geometry on partial discharge , Firenze, Italy Electrical tests on PCB insulation materials and investigation of influence of solder fillets geometry on partial discharge A. Bulletti, L. Capineri B. Dunn ESTEC Material and Process

More information

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Thomas Waechtler a, Bernd Gruska b, Sven Zimmermann a, Stefan E. Schulz a, Thomas Gessner a a Chemnitz University

More information

Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control

Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control J.N. Kidder, Jr., Yiheng Xu. Nayanee Gupta, Theodosia Gougousi, Laurent Henn-Lecordier, G.W. Rubloff University of

More information

Concepts and principles of optical lithography

Concepts and principles of optical lithography 1/56 2/56 Concepts and principles of optical lithography Francesc Pérez-Murano Institut de Microelectrònica de Barcelona (CNM-IMB, CSIC) Francesc.Perez@cnm.es 10 cm mà blia 1 cm Gra de sorra Xip 1 mm 100

More information

Lab 7: Residual Gas Analyzers

Lab 7: Residual Gas Analyzers Lab 7: Residual Gas Analyzers Vacuum System Overview: Residual Gas Analyzers. A Residual Gas Analyzer (RGA) allows the scientist/engineer to measure the gases present in a low-pressure environment. This

More information

Vapor Chambers. Figure 1: Example of vapor chamber. Benefits of Using Vapor Chambers

Vapor Chambers. Figure 1: Example of vapor chamber. Benefits of Using Vapor Chambers Vapor Chambers A vapor chamber is a high-end thermal management device that can evenly dissipate heat from a small source to a large platform of area (see Figure 1). It has a similar construction and mechanism

More information

Chapter 6 Metal Films and Filters

Chapter 6 Metal Films and Filters Chapter 6 Metal Films and Filters 6.1 Mirrors The first films produced by vacuum deposition as we know it were aluminum films for mirrors made by John Strong in the 1930s; he coated mirrors for astronomical

More information

State of the art in reactive magnetron sputtering

State of the art in reactive magnetron sputtering State of the art in reactive magnetron sputtering T. Nyberg, O. Kappertz, T. Kubart and S. Berg Solid State Electronics, The Ångström Laboratory, Uppsala University, Box 534, S-751 21 Uppsala, Sweden D.

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Data Compilation and Evaluation at National Fusion Research Institute (NFRI)

Data Compilation and Evaluation at National Fusion Research Institute (NFRI) Data Center for Plasma Properties Data Compilation and Evaluation at National Fusion Research Institute (NFRI) Jung-Sik YOON and DCPP Members CM on Data Evaluation and the Establishment of a Standard Library

More information

Multi-elemental determination of gasoline using Agilent 5100 ICP-OES with oxygen injection and a temperature controlled spray chamber

Multi-elemental determination of gasoline using Agilent 5100 ICP-OES with oxygen injection and a temperature controlled spray chamber Multi-elemental determination of gasoline using Agilent 5100 ICP-OES with oxygen injection and a temperature controlled spray chamber Application note Energy & chemicals, petrochemicals Authors Elizabeth

More information

Sputtering. Ion-Solid Interactions

Sputtering. Ion-Solid Interactions ssistant Professor Department of Microelectronic Engineering Rochester Institute of Technology 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (716) 475-2923 Fax (716) 475-5041 PDRDV@RIT.EDU Page 1

More information

- particle with kinetic energy E strikes a barrier with height U 0 > E and width L. - classically the particle cannot overcome the barrier

- particle with kinetic energy E strikes a barrier with height U 0 > E and width L. - classically the particle cannot overcome the barrier Tunnel Effect: - particle with kinetic energy E strikes a barrier with height U 0 > E and width L - classically the particle cannot overcome the barrier - quantum mechanically the particle can penetrated

More information

UVO-CLEANER. Instruction Manual. Model 42 SERIES. Jelight Company, Inc. 2 Mason Irvine, CA 92618 U.S.A Tel: +1(949) 380-8774 Fax +1(949) 768-9457

UVO-CLEANER. Instruction Manual. Model 42 SERIES. Jelight Company, Inc. 2 Mason Irvine, CA 92618 U.S.A Tel: +1(949) 380-8774 Fax +1(949) 768-9457 UVO-CLEANER Model 42 SERIES Instruction Manual Original Instructions REV. C 0 Table of Contents Safety Guide...2 TÜV Rheinland Approval...3 Introduction... 'UV + O' Cleaning Method...4 Specifications...5

More information

Pesticide Analysis by Mass Spectrometry

Pesticide Analysis by Mass Spectrometry Pesticide Analysis by Mass Spectrometry Purpose: The purpose of this assignment is to introduce concepts of mass spectrometry (MS) as they pertain to the qualitative and quantitative analysis of organochlorine

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

GD-Profiler Series RF Glow Discharge Optical Emission Spectrometers

GD-Profiler Series RF Glow Discharge Optical Emission Spectrometers GD-Profiler Series RF Glow Discharge Optical Emission Spectrometers For many applications, it is essential to know the chemical composition of a material, both at the surface, at the interfaces and in

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure Ivan Lalovic a+, Nigel Farrar* b, Kazuhiro Takahashi c, Eric Kent a, Daniel Colon b, German Rylov b, Alden Acheta a, Koji Toyoda d, Harry

More information

Weight Loss Determined from Mass Spectrometry Trend Data in a Thermogravimetric/Mass Spectrometer System

Weight Loss Determined from Mass Spectrometry Trend Data in a Thermogravimetric/Mass Spectrometer System Weight Loss Determined from Mass Spectrometry Trend Data in a Thermogravimetric/Mass Spectrometer System Carlton G. Slough TA Instruments, 109 Lukens Drive, New Castle DE 19720, USA ABSTRACT The use of

More information

Raman spectroscopy Lecture

Raman spectroscopy Lecture Raman spectroscopy Lecture Licentiate course in measurement science and technology Spring 2008 10.04.2008 Antti Kivioja Contents - Introduction - What is Raman spectroscopy? - The theory of Raman spectroscopy

More information

Adsorption and Catalysis

Adsorption and Catalysis Adsorption and Catalysis Dr. King Lun Yeung Department of Chemical Engineering Hong Kong University of Science and Technology CENG 511 Lecture 3 Adsorption versus Absorption H H H H H H H H H Adsorption

More information

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2 Solid State Phenomena Vol. 134 (2008) pp 359-362 Online available since 2007/Nov/20 at www.scientific.net (2008) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.134.359 Metal Hard

More information

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons D.Monaghan, V. Bellido-Gonzalez, M. Audronis. B. Daniel Gencoa, Physics Rd, Liverpool, L24 9HP, UK. www.gencoa.com,

More information

PLASMA TECHNOLOGY OVERVIEW

PLASMA TECHNOLOGY OVERVIEW PLASMA TECHNOLOGY OVERVIEW Plasmas are not a lab curiosity. Plasma processing has been an essential production tool for more than 30 years in the fabrication of microelectronic devices for example. Over

More information

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing CINVESTAV-UNIDAD QUERETARO P.G. Mani-González and A. Herrera-Gomez gmani@qro.cinvestav.mx CINVESTAV 1 background

More information

How To Implant Anneal Ion Beam

How To Implant Anneal Ion Beam ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING MEMS Ion Implant Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology

More information

Plasma Cleaner: Physics of Plasma

Plasma Cleaner: Physics of Plasma Plasma Cleaner: Physics of Plasma Nature of Plasma A plasma is a partially ionized gas consisting of electrons, ions and neutral atoms or molecules The plasma electrons are at a much higher temperatures

More information

Effects of Temperature, Pressure and Water Vapor on Gas Phase Infrared Absorption by CO 2

Effects of Temperature, Pressure and Water Vapor on Gas Phase Infrared Absorption by CO 2 Effects of Temperature, Pressure and Water Vapor on Gas Phase Infrared Absorption by CO 2 D. K. McDermitt, J. M. Welles, and R. D. Eckles - LI-COR, inc. Lincoln, NE 68504 USA Introduction Infrared analysis

More information

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z The ALD Powerhouse Picosun Defining the future of ALD Picosun s history and background date back to the very beginning of the field of atomic layer deposition. ALD was invented in Finland in 1974 by Dr.

More information

Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium

Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium 90% added energy However can reaction can chemically

More information

CONE CALORIMETER A TOOL FOR MEASURING HEAT RELEASE RATE

CONE CALORIMETER A TOOL FOR MEASURING HEAT RELEASE RATE CONE CALORIMETER A TOOL FOR MEASURING HEAT RELEASE RATE Johan Lindholm, Anders Brink and Mikko Hupa Åbo Akademi Process Chemistry Centre, Biskopsgatan 8, FI-20500 Åbo, FINLAND Corresponding author: E-mail:

More information

Plasma Activated Fuel Cells

Plasma Activated Fuel Cells Plasma Activated Fuel Cells Investigators Mark A. Cappelli, Professor, Mechanical Engineering; Wookyung Kim, Post-Doctoral Research, Mechanical Engineering. Abstract Plasma-activated fuel cell operation

More information

Plasma monitoring of plasma-assisted nitriding of aluminium alloys

Plasma monitoring of plasma-assisted nitriding of aluminium alloys Surface and Coatings Technology 120 121 (1999) 244 249 www.elsevier.nl/locate/surfcoat Plasma monitoring of plasma-assisted nitriding of aluminium alloys Michael Quast *, Peter Mayr, Heinz-Rolf Stock Stiftung

More information

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology Precision Fair 2013 Stand 171 Plasma Electronic is Partner of Tailor-Made Surfaces by Plasma Technology Dr. J. Geng, Plasma Electronic GmbH Modern Surface Technology in 1900 Overview A short introduction

More information

Project 2B Building a Solar Cell (2): Solar Cell Performance

Project 2B Building a Solar Cell (2): Solar Cell Performance April. 15, 2010 Due April. 29, 2010 Project 2B Building a Solar Cell (2): Solar Cell Performance Objective: In this project we are going to experimentally measure the I-V characteristics, energy conversion

More information

CHAPTER 6 THE TERRESTRIAL PLANETS

CHAPTER 6 THE TERRESTRIAL PLANETS CHAPTER 6 THE TERRESTRIAL PLANETS MULTIPLE CHOICE 1. Which of the following is NOT one of the four stages in the development of a terrestrial planet? 2. That Earth, evidence that Earth differentiated.

More information

PROVE, the next generation registration metrology tool, status report

PROVE, the next generation registration metrology tool, status report PROVE, the next generation registration metrology tool, status report Dirk Beyer a, Patricia Gabella b, Greg Hughes b, Gerd Klose c, Norbert Rosenkranz a a Carl Zeiss SMS GmbH (Germany) Carl-Zeiss-Promenade

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Copyright 1999 2010 by Mark Brandt, Ph.D. 12

Copyright 1999 2010 by Mark Brandt, Ph.D. 12 Introduction to Absorbance Spectroscopy A single beam spectrophotometer is comprised of a light source, a monochromator, a sample holder, and a detector. An ideal instrument has a light source that emits

More information

EUV lithography NXE platform performance overview

EUV lithography NXE platform performance overview EUV lithography NXE platform performance overview Rudy Peeters 2014 SPIE Advanced Lithography, San Jose CA, 9048-54 Slide 2 Contents Roadmap NXE:3100 NXE:3300B Summary and acknowledgements ASML EUV technology

More information

CH3 Stoichiometry. The violent chemical reaction of bromine and phosphorus. P.76

CH3 Stoichiometry. The violent chemical reaction of bromine and phosphorus. P.76 CH3 Stoichiometry The violent chemical reaction of bromine and phosphorus. P.76 Contents 3.1 Counting by Weighing 3.2 Atomic Masses 3.3 The Mole 3.4 Molar Mass 3.5 Percent Composition of Compounds 3.6

More information

Femtosecond laser-induced silicon surface morphology in water confinement

Femtosecond laser-induced silicon surface morphology in water confinement Microsyst Technol (2009) 15:1045 1049 DOI 10.1007/s00542-009-0880-8 TECHNICAL PAPER Femtosecond laser-induced silicon surface morphology in water confinement Yukun Han Æ Cheng-Hsiang Lin Æ Hai Xiao Æ Hai-Lung

More information

Lateral Resolution of EDX Analysis with Low Acceleration Voltage SEM

Lateral Resolution of EDX Analysis with Low Acceleration Voltage SEM Original Paper Lateral Resolution of EDX Analysis with Low Acceleration Voltage SEM Satoshi Hashimoto 1, Tsuguo Sakurada 1, and Minoru Suzuki 2 1 JFE-Techno research corporation, 1-1 Minamiwatarida, Kawasaki,

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

Introduction to Fourier Transform Infrared Spectrometry

Introduction to Fourier Transform Infrared Spectrometry Introduction to Fourier Transform Infrared Spectrometry What is FT-IR? I N T R O D U C T I O N FT-IR stands for Fourier Transform InfraRed, the preferred method of infrared spectroscopy. In infrared spectroscopy,

More information

Deposition of Thin Metal Films " (on Polymer Substrates)!

Deposition of Thin Metal Films  (on Polymer Substrates)! Deposition of Thin Metal Films " (on Polymer Substrates)! Shefford P. Baker! Cornell University! Department of Materials Science and Engineering! Ithaca, New York, 14853! MS&E 5420 Flexible Electronics,

More information

Remote plasma etching of silicon nitride and silicon dioxide using NF 3 /O 2 gas mixtures

Remote plasma etching of silicon nitride and silicon dioxide using NF 3 /O 2 gas mixtures Remote plasma etching of silicon nitride and silicon dioxide using NF 3 /O 2 gas mixtures B. E. E. Kastenmeier, a) P. J. Matsuo, and G. S. Oehrlein b) Department of Physics, The University at Albany, State

More information

CHAPTER 8: CHEMICAL COMPOSITION

CHAPTER 8: CHEMICAL COMPOSITION CHAPTER 8: CHEMICAL COMPOSITION Active Learning: 1-4, 6-8, 12, 18-25; End-of-Chapter Problems: 3-4, 9-82, 84-85, 87-92, 94-104, 107-109, 111, 113, 119, 125-126 8.2 ATOMIC MASSES: COUNTING ATOMS BY WEIGHING

More information

High power picosecond lasers enable higher efficiency solar cells.

High power picosecond lasers enable higher efficiency solar cells. White Paper High power picosecond lasers enable higher efficiency solar cells. The combination of high peak power and short wavelength of the latest industrial grade Talisker laser enables higher efficiency

More information

Observation of Long Transients in the Electrical Characterization of Thin Film BST Capacitors

Observation of Long Transients in the Electrical Characterization of Thin Film BST Capacitors Integrated Ferroelectrics, 53: 503 511, 2003 Copyright C Taylor & Francis Inc. ISSN: 1058-4587 print/ 1607-8489 online DOI: 10.1080/10584580390258651 Observation of Long Transients in the Electrical Characterization

More information

STM, LEED and Mass spectrometry

STM, LEED and Mass spectrometry STM, LEED and Mass spectrometry R. Schloderer, S. Griessl, J. Freund, M. Edelwirth, W.M. Heckl Introduction TDS UHV technique Preparation STM LEED QMS Concept of new UHV chamber Conclusion P. Cole, M.

More information

SIMS DEPTH PROFILING OF THIN NITRIDE- AND CARBIDE-BASED FILMS FOR HARD COATING

SIMS DEPTH PROFILING OF THIN NITRIDE- AND CARBIDE-BASED FILMS FOR HARD COATING SIMS Rev.Adv.Mater.Sci. depth profiling 15(2007) of thin nitride 253258 and carbidebased films for hard coating 253 SIMS DEPTH PROFILING OF THIN NITRIDE AND CARBIDEBASED FILMS FOR HARD COATING M. Cwil

More information

In In situ study of multilayers reflectivity upon heat treatment under synchrotron radiation. 27th september 2005 ICXOM_05 Frascati 1

In In situ study of multilayers reflectivity upon heat treatment under synchrotron radiation. 27th september 2005 ICXOM_05 Frascati 1 In In situ study of multilayers reflectivity upon heat treatment under synchrotron radiation C. C. Borel Borel (a), (a), C. C. Morawe Morawe (a), (a), Eric Eric Ziegler(a), Ziegler(a), Thierry Thierry

More information

13C NMR Spectroscopy

13C NMR Spectroscopy 13 C NMR Spectroscopy Introduction Nuclear magnetic resonance spectroscopy (NMR) is the most powerful tool available for structural determination. A nucleus with an odd number of protons, an odd number

More information