J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2

Size: px
Start display at page:

Download "J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2"

Transcription

1 Solid State Phenomena Vol. 134 (2008) pp Online available since 2007/Nov/20 at (2008) Trans Tech Publications, Switzerland doi: / Metal Hard Mask Employed Cu/Low k Film post Ash and Wet Clean Process Optimization and Integration into 65nm Manufacturing Flow Miao Chun Lin 1, Mei Qi Wang 1,a, Joe Lai 1, Ren Huang 1, Cheng Ming Weng 1, J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2 1 UMC, No.18 Nanke 2 nd road, Tainan Science Park, Tainan County741, Taiwan, ROC 2 Applied Materials, 3330 Scott Boulevard, M/S 0697, Santa Clara, CA 95054, USA a Mei_Qi_Wang@umc.com b Jianshe_Tang@amat.com Keywords: Metal hard mask, Single wafer clean, Etch/Ash, Cu/Low-k. Abstract As 65nm technology in mass production and 45nm technology under development, post etch ash and cleaning faces new challenges with far more stringent requirements on surface cleanliness and materials loss. The introduction and integration of new materials, such as metal hard mask, creates additional requirements for wafer cleaning due to the occurrence of new defect modes related to metal hard mask. We have optimized a post etch ash process and developed a novel aqueous solution (AQ) based single wafer cleaning process to address these new defect modes. Physical characterization results and process integration electrical data are presented in this paper. Introduction Metal hard mask is widely used in BEOL process flow in recent years due to its many advantages, e.g. prevention of low-k dielectric damage [1, 2]. However, with the implementation of metal hard mask for BEOL, new issues arise in both etch/ash and wet clean process steps that need to be resolved. In the past few years, extensive research has been done for metal hard mask integration. For example, G. Delagdino, et. al investigated how to minimize ashing damage to low-k material and how to avoid the photoresist poisoning issue associated with 193nm resist [2]. C. Weng, and M. Lin, et. al. successfully developed a multi-step cleaning process, which is used in mass production [3]. However, little research has been done on defectivity improvement from the etch/ash and wet clean integration standpoint We evaluated the individual impact of etch/ash and wet clean on defect, electrical and reliability performance. We optimized etch/ash and wet cleaning, and successfully developed a simplified one step aqueous cleaning process. This paper presents results of process characterization and parametric yield data from the newly developed process. Hardware The development was completed on an Applied Materials' Oasis single wafer clean system. The wet cleaning chamber is schematically shown in figure 1, the chemical dispense arm can deliver two different chemicals in sequence, and the DIW dispense arm can deliver both RT and hot DIW. Backside liquid could be either chemical or DIW. The full coverage megasonic introduces physical cleaning force into the process to help for the particle removing. Blanket Cu wafers are used for etch rate test, patterned wafers are used for checking residue removal and parametric yield. Scanning Electron Microscopy (SEM) is used to check post cleaning residues. Transmission Electron Microscopy (TEM) is used for checking Cu loss on patterned wafer. All rights reserved. No part of contents of this paper may be reproduced or transmitted in any form or by any means without the written permission of TTP, (ID: , Pennsylvania State University, University Park, United States of America-14/06/14,04:58:44)

2 360 Ultra Clean Processing of Semiconductor Surfaces VIII RT or hot DIW Chem 1or Chem 2 Wafe r 300nm Megasonic plate Backside liquid Figure 1: Experimental setup Polymer Figure 2: Polymer covers the top of metal mask Process Results and Discussion Post etch ash optimization: We apply the concept of physical bombardment to change the physical properties of the polymer, we directly bombard the polymer with dilute gas. As shown in figure 2, prior to this bombardment treatment with dilute gas, the polymer is tightly adhered to the metal hard-mask. After bombardment with dilute gas, the physical characteristics of the polymer has been altered, or softened, such that diffusion of fluorine radicals is allowed to react with the underlying metal hard-mask, see figure 3 [3]. Residue Characterization: Figure 3 shows the typical residue after etch and dilute gas bombardment. A soft layer of polymer residue is left on top of metal hard mask and on trench side wall. In addition, some crystallized residues appear above polymer residue, increasing in size and density with time. Due to the presence of fluoride and its tendency to precipitate, the residue is believed to be a metal fluoride compound. Figure 3 shows the residue which is strongly bonded to metal mask. It appears after removing the generic polymer residue. Because of the relatively higher carbon and metal contents in the residue, this residue is believed to be organometallic in nature. Organometallic Residue 300nm Generic Polymer Figure 3: Generic polymer residue, metal fluoride residue, and organometallic bonded to metal hard masks after etch and ash Residue removal: Undercutting the particle or residue is a traditional and effective method for wafer cleaning; to remove the organometallic residue, slightly etch the metal hard mask to undercut the residue is necessary. It is well documented that H2O2 is a suitable chemical for the typical metal hard mask etch [1], however, H2O2 can not mixed together with lower ph aqueous solution for Cu/low-k film cleaning. In the newly developed process in order to undercut metal hard mask to remove the organometallic residue, we added another aqueous chemical to a fluorine based aqueous chemical to remove both organometallic residue and metal fluoride. Figure 4 shows the result. Clearly, all residues are completely removed. Metal fluoride dissolution is proposed as metal fluoride removing mechanism and is schematically shown in figure 5.

3 Solid State Phenomena Vol Figure 4: All residues are completely removed with the new cleaning process MFx AQ AQ MFx A Metal hard mask Metal hard mask (c) CU Figure 5: Proposed metal fluoride remove mechanism. Metal fluoride precipitate out of metal hard mask, AQ chemical dissolves the metal fluoride and separates the residue from metal hard mask, (c) metal fluoride flushes away with the chemical Parametric yield: Kelvin via resistance and via chain resistance distribution is summarized in figure 6. Compared to baseline process, the RC distribution from the new process is about the same or even better, especially on Kelvin via. The same via resistance suggests that the residue removal capability of the one step wet cleaning process and three steps cleaning baseline are comparable. The same performance suggests that the newly developed one step cleaning process has equivalent residue removal capability as the three steps baseline cleaning process. Precent 100.0% 90.0% 80.0% 70.0% 60.0% 50.0% 40.0% 30.0% 20.0% 10.0% 0.0% Resistance (Ω ) baseline AQ clean Precent 100.0% 90.0% 80.0% 70.0% 60.0% 50.0% 40.0% 30.0% 20.0% 10.0% 0.0% Figure 6: Via chain and Kelvin via resistance yield baseline AQ clean 0 1 2Resistance 3 (Ω ) Cu loss and reliability: Electromigration is the atomic diffusion caused by momentum transfer from conducting electrons. Electromigration and stress migration are critical reliability parameters and closely related to Cu loss in BEOL [4]. Lateral Cu loss was observed from wafer processed with the H 2 O 2 followed by aqueous clean process, as shown in figure 7. Figure 7 and (c) show the Cu

4 362 Ultra Clean Processing of Semiconductor Surfaces VIII loss from the process without H 2 O 2 Clean step. Clearly, no lateral Cu-loss was observed. The vertical Cu loss could come from multiple sources, such as post SiN barrier etch and TaN barrier punch through. We are investigating the individual impact of SiN barrier etch and TaN barrier punch through on vertical Cu loss. (c) Figure 7: Cu loss from H 2 O 2 followed by aqueous clean two steps process, and (c) No lateral Cu loss is observed from the single step aqueous clean process Summary The objective of this research is to develop a new process for metal hard mask Cu/low k film post etch/ash residue cleaning. The following conclusions can be made: (1) In the Cu/low-k process flow with metal hard mask, there are three type of typical residues after etch/ash: generic polymer residue, organometallic residue which is strongly bonded to metal masks, and time-dependent metal fluoride residue. (2)We optimized post etch ash process by dilute gas treatment and employed a new chemical to undercut metal hard mask for organometallic residue removal. (3)We developed a fluorine based aqueous chemistry to address the metal fluoride residue, which proved highly effective. (4) The integration electrical data shows that the optimized ash plus new single step aqueous cleaning process generates equal or even better results than that from multiple step processes. References 1. C. Richard, et. al.; Barrier and seed layer wet etching, Solid State Phenomena, Vol. 103~104 (2005), p361~ G. Delgadino, A. Zhao, et. al.; Tungsten hard mask damascene integration scheme for 65nm, 206th Meeting of the Electrochemical Society/2004 Fall Meeting of the Electrochemical Society of Japan, 2004, p Cheng Ming Weng, Miao Chun Lin, Ren Huang, US patent pending. 4. J. Segura and C. Hawkins, CMOS Electronics, How It Works, How It fails, (Wiley Interscience, A John Wiley & Sons, INC., Publication) p159~178.

5 Ultra Clean Processing of Semiconductor Surfaces VIII / Metal Hard Mask Employed Cu/Low k Film Post Ash and Wet Clean Process Optimization and Integration into 65nm Manufacturing Flow /

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Study on Wet Etching of AAO Template

Study on Wet Etching of AAO Template Study on Wet Etching of AAO Template Guofeng Hu, Haiming Zhang, Wenwen Di & Tingting Zhao School of Science, Tianjin Polytechnic University, Tianjin 300160, China E-mail: hugf2009@163.com Abstract The

More information

UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES

UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES Ex parte ELIZABETH G. PAVEL, MARK N. KAWAGUCHI, and JAMES S. PAPANU Appeal 2009-002463 Technology Center 1700

More information

Defects Reduction of Nano-Semiconductor Dual Damascene Process Development

Defects Reduction of Nano-Semiconductor Dual Damascene Process Development Defects Reduction of Nano-Semiconductor Dual Damascene Process Development Proceedings of the SEM Annual Conference June 1-4, 2009 Albuquerque New Mexico USA 2009 Society for Experimental Mechanics Inc.

More information

FOUP (Pod) contamination control solutions for 200 mm, 300 mm and 450 mm substrates. Jorgen Lundgren, Senior Applications Engineer Entegris GmbH

FOUP (Pod) contamination control solutions for 200 mm, 300 mm and 450 mm substrates. Jorgen Lundgren, Senior Applications Engineer Entegris GmbH FOUP (Pod) contamination control solutions for 200 mm, 300 mm and 450 mm substrates Jorgen Lundgren, Senior Applications Engineer Entegris GmbH Agenda Entegris introduction FOUP (Pod) Contamination Control

More information

The Empirical Formula of a Compound

The Empirical Formula of a Compound The Empirical Formula of a Compound Lab #5 Introduction A look at the mass relationships in chemistry reveals little order or sense. The ratio of the masses of the elements in a compound, while constant,

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

General Chemistry I (FC, 09-10) Lab #3: The Empirical Formula of a Compound. Introduction

General Chemistry I (FC, 09-10) Lab #3: The Empirical Formula of a Compound. Introduction General Chemistry I (FC, 09-10) Introduction A look at the mass relationships in chemistry reveals little order or sense. The ratio of the masses of the elements in a compound, while constant, does not

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Honors Chemistry: Unit 6 Test Stoichiometry PRACTICE TEST ANSWER KEY Page 1. A chemical equation. (C-4.4)

Honors Chemistry: Unit 6 Test Stoichiometry PRACTICE TEST ANSWER KEY Page 1. A chemical equation. (C-4.4) Honors Chemistry: Unit 6 Test Stoichiometry PRACTICE TEST ANSWER KEY Page 1 1. 2. 3. 4. 5. 6. Question What is a symbolic representation of a chemical reaction? What 3 things (values) is a mole of a chemical

More information

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc. Failure Analysis System for Submicron Semiconductor Devices 68 Failure Analysis System for Submicron Semiconductor Devices Munetoshi Fukui Yasuhiro Mitsui, Ph. D. Yasuhiko Nara Fumiko Yano, Ph. D. Takashi

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Introduction............................. 2. Electrostatic Charging of Samples in Photoemission Experiments............................

More information

Sn-Cu Intermetallic Grain Morphology Related to Sn Layer Thickness

Sn-Cu Intermetallic Grain Morphology Related to Sn Layer Thickness Journal of ELECTRONIC MATERIALS, Vol. 36, No. 11, 2007 DOI: 10.1007/s11664-007-0270-x Ó 2007 TMS Special Issue Paper -Cu Intermetallic Grain Morphology Related to Layer Thickness MIN-HSIEN LU 1 and KER-CHANG

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Techniques for removal of contamination from EUVL mask without surface damage

Techniques for removal of contamination from EUVL mask without surface damage Techniques for removal of contamination from EUVL mask without surface damage Sherjang Singh a*, Ssuwei Chen a, Tobias Wähler b, Rik Jonckheere c Ted Liang d, Robert J. Chen d, Uwe Dietze a a HamaTech

More information

DI-water technology for photoresist removal. shifts from 200- to 300-mm wafers, the use of ozonated deionized water (DIO 3

DI-water technology for photoresist removal. shifts from 200- to 300-mm wafers, the use of ozonated deionized water (DIO 3 Using an ozonated- DI-water technology for photoresist removal Jae-Inh Song, Richard Novak, Ismail Kashkoush, and Pieter Boelen, Akrion As the semiconductor industry shifts from 200- to 300-mm wafers,

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

DualBeam Solutions for Electrical Nanoprobing

DualBeam Solutions for Electrical Nanoprobing DualBeam Solutions for Electrical Nanoprobing Richard J. Young, Technologist Peter D. Carleson, Product Marketing Engineer Electrical testing by physically probing device structures has grown more challenging

More information

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Songlin Xu, a Ce Qin, Li Diao, Dave Gilbert, Li Hou, and Allan Wiesnoski Mattson Technology, Inc., Fremont,

More information

Chemistry B11 Chapter 4 Chemical reactions

Chemistry B11 Chapter 4 Chemical reactions Chemistry B11 Chapter 4 Chemical reactions Chemical reactions are classified into five groups: A + B AB Synthesis reactions (Combination) H + O H O AB A + B Decomposition reactions (Analysis) NaCl Na +Cl

More information

Chem 1100 Chapter Three Study Guide Answers Outline I. Molar Mass and Moles A. Calculations of Molar Masses

Chem 1100 Chapter Three Study Guide Answers Outline I. Molar Mass and Moles A. Calculations of Molar Masses Chem 1100 Chapter Three Study Guide Answers Outline I. Molar Mass and Moles A. Calculations of Molar Masses B. Calculations of moles C. Calculations of number of atoms from moles/molar masses 1. Avagadro

More information

Good Boards = Results

Good Boards = Results Section 2: Printed Circuit Board Fabrication & Solderability Good Boards = Results Board fabrication is one aspect of the electronics production industry that SMT assembly engineers often know little about.

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

Cross-Interaction Between Au and Cu in Au/Sn/Cu Ternary Diffusion Couples

Cross-Interaction Between Au and Cu in Au/Sn/Cu Ternary Diffusion Couples Cross-Interaction Between Au and Cu in Au/Sn/Cu Ternary Diffusion Couples C. W. Chang 1, Q. P. Lee 1, C. E. Ho 1 1, 2, *, and C. R. Kao 1 Department of Chemical & Materials Engineering 2 Institute of Materials

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

Electroplating with Photoresist Masks

Electroplating with Photoresist Masks Electroplating with Photoresist Masks Revised: 2014-01-17 Source: www.microchemicals.com/downloads/application_notes.html Electroplating - Basic Requirements on the Photoresist Electroplating with photoresist

More information

Mask Cleaning Processes and Challenges

Mask Cleaning Processes and Challenges Mask Cleaning Processes and Challenges Brian J. Grenon Grenon Consulting, Inc. 92 Dunlop Way Colchester, VT 05446 Phone: 802-862-4551 Fax: 802-658-8952 e-mail bgrenon@together.net Mask Supply Workshop

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Influence of Solder Reaction Across Solder Joints

Influence of Solder Reaction Across Solder Joints Influence of Solder Reaction Across Solder Joints Kejun Zeng FC BGA Packaging Development Semiconductor Packaging Development Texas Instruments, Inc. 6 th TRC Oct. 27-28, 2003 Austin, TX 1 Outline Introduction

More information

Chapter Test B. Chapter: Measurements and Calculations

Chapter Test B. Chapter: Measurements and Calculations Assessment Chapter Test B Chapter: Measurements and Calculations PART I In the space provided, write the letter of the term or phrase that best completes each statement or best answers each question. 1.

More information

Warszawy 8, 35-959 Rzeszów, Poland

Warszawy 8, 35-959 Rzeszów, Poland Solid State Phenomena Vols. 147-149 (2009) pp 231-236 Online available since 2009/Jan/06 at www.scientific.net (2009) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.147-149.231

More information

Wipe Analysis to Determine Metal Contamination on Critical Surfaces

Wipe Analysis to Determine Metal Contamination on Critical Surfaces By Albert Dato, Ph.D., Warren York, Jennifer Jew, Laarni Huerta, Brice Norton, and Michael Coste On-wafer metallic contamination is detrimental to the fabrication and performance of semiconductor devices.

More information

FLEXIBLE CIRCUITS MANUFACTURING

FLEXIBLE CIRCUITS MANUFACTURING IPC-DVD-37 FLEXIBLE CIRCUITS MANUFACTURING Below is a copy of the narration for DVD-37. The contents of this script were developed by a review group of industry experts and were based on the best available

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Selective Soldering Defects and How to Prevent Them

Selective Soldering Defects and How to Prevent Them Selective Soldering Defects and How to Prevent Them Gerjan Diepstraten Vitronics Soltec BV Introduction Two major issues affecting the soldering process today are the conversion to lead-free soldering

More information

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 16, No. 2, pp. 90-94, April 25, 2015 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2015.16.2.90 OAK Central:

More information

Name Lab #3: Solubility of Organic Compounds Objectives: Introduction: soluble insoluble partially soluble miscible immiscible

Name  Lab #3: Solubility of Organic Compounds Objectives: Introduction: soluble insoluble partially soluble miscible immiscible Lab #3: Solubility of rganic Compounds bjectives: - Understanding the relative solubility of organic compounds in various solvents. - Exploration of the effect of polar groups on a nonpolar hydrocarbon

More information

Package Trends for Mobile Device

Package Trends for Mobile Device Package Trends for Mobile Device On-package EMI Shield At CTEA Symposium Feb-10, 2015 Tatsuya Kawamura Marketing, Director TEL NEXX, Inc. Love Thinner Mobile? http://www.apple.com/ iphone is registered

More information

. Tutorial #3 Building Complex Targets

. Tutorial #3 Building Complex Targets . Tutorial #3 Building Complex Targets. Mixed Gas/Solid Targets Gas Ionization Chamber Previous Tutorials have covered how to setup TRIM, determine which ion and energy to specify for a semiconductor n-well

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

CHEMISTRY STANDARDS BASED RUBRIC ATOMIC STRUCTURE AND BONDING

CHEMISTRY STANDARDS BASED RUBRIC ATOMIC STRUCTURE AND BONDING CHEMISTRY STANDARDS BASED RUBRIC ATOMIC STRUCTURE AND BONDING Essential Standard: STUDENTS WILL UNDERSTAND THAT THE PROPERTIES OF MATTER AND THEIR INTERACTIONS ARE A CONSEQUENCE OF THE STRUCTURE OF MATTER,

More information

Experiment 7: Titration of an Antacid

Experiment 7: Titration of an Antacid 1 Experiment 7: Titration of an Antacid Objective: In this experiment, you will standardize a solution of base using the analytical technique known as titration. Using this standardized solution, you will

More information

Unit 12 Practice Test

Unit 12 Practice Test Name: Class: Date: ID: A Unit 12 Practice Test Multiple Choice Identify the choice that best completes the statement or answers the question. 1) A solid has a very high melting point, great hardness, and

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Technical Info Sheet. Ionic contamination testing in a no-clean soldering process. Scope

Technical Info Sheet. Ionic contamination testing in a no-clean soldering process. Scope Scope This document provides information about ionic contamination testing and its relevance in a no-clean. Also so-called C3 testing and ROSE (Resistivity of Solvent Extract) testing are forms of ionic

More information

TAIYO PSR-4000 AUS703

TAIYO PSR-4000 AUS703 TAIYO PSR-4000 AUS703 LIQUID PHOTOIMAGEABLE SOLDER MASK Designed for Flip Chip Packaging Applications Halogen-Free (300ppm) Excellent Thermal and Crack Resistance Low Water Absorption RoHS Compliant Excellent

More information

Desmear and Plating Through Hole Considerations and Experiences for Green PCB Production

Desmear and Plating Through Hole Considerations and Experiences for Green PCB Production Desmear and Plating Through Hole Considerations and Experiences for Green PCB Production Gerd Linka, (Neil Patton) Atotech Deutschland GmbH Berlin, Germany Abstract With the latest legislations from RoHS

More information

Keysight Technologies How to Choose your MAC Lever. Technical Overview

Keysight Technologies How to Choose your MAC Lever. Technical Overview Keysight Technologies How to Choose your MAC Lever Technical Overview Introduction Atomic force microscopy (AFM) is a sub-nanometer scale imaging and measurement tool that can be used to determine a sample

More information

Name Class Date. In the space provided, write the letter of the term or phrase that best completes each statement or best answers each question.

Name Class Date. In the space provided, write the letter of the term or phrase that best completes each statement or best answers each question. Assessment Chapter Test A Chapter: States of Matter In the space provided, write the letter of the term or phrase that best completes each statement or best answers each question. 1. The kinetic-molecular

More information

Assessment and Solutions for Hole Wall Pull Away in High Tg and High Technology Laminate Materials

Assessment and Solutions for Hole Wall Pull Away in High Tg and High Technology Laminate Materials Assessment and Solutions for Hole Wall Pull Away in High Tg and High Technology Laminate Materials Neil Patton Atotech Deutschland GmbH Erasmusstrasse 20 10553 Berlin Germany ABSTRACT Today the use of

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

PrepTip. Reverse Phase PrepTip User Guide

PrepTip. Reverse Phase PrepTip User Guide PrepTip Reverse Phase PrepTip User Guide All text, photographs and illustrations are copyrighted by Harvard Apparatus, Inc. 2004. PrepTip is a trademark of Harvard Apparatus, Inc. Harvard Apparatus 84

More information

Lecture 30: Cleanroom design and contamination control

Lecture 30: Cleanroom design and contamination control Lecture 30: Cleanroom design and contamination control Contents 1 Introduction 1 2 Contaminant types 2 2.1 Particles.............................. 2 2.2 Metal ions............................. 4 2.3 Chemicals.............................

More information

VALIDATION, MODELING, AND SCALE-UP OF CHEMICAL LOOPING COMBUSTION WITH OXYGEN UNCOUPLING

VALIDATION, MODELING, AND SCALE-UP OF CHEMICAL LOOPING COMBUSTION WITH OXYGEN UNCOUPLING VALIDATION, MODELING, AND SCALE-UP OF CHEMICAL LOOPING COMBUSTION WITH OXYGEN UNCOUPLING A research program funded by the University of Wyoming School of Energy Resources Executive Summary Principal Investigator:

More information

PLASMA TECHNOLOGY OVERVIEW

PLASMA TECHNOLOGY OVERVIEW PLASMA TECHNOLOGY OVERVIEW Plasmas are not a lab curiosity. Plasma processing has been an essential production tool for more than 30 years in the fabrication of microelectronic devices for example. Over

More information

Chapter 8: Chemical Equations and Reactions

Chapter 8: Chemical Equations and Reactions Chapter 8: Chemical Equations and Reactions I. Describing Chemical Reactions A. A chemical reaction is the process by which one or more substances are changed into one or more different substances. A chemical

More information

Factors Affecting Precipitation of Calcium Carbonate

Factors Affecting Precipitation of Calcium Carbonate Factors Affecting Precipitation of Calcium Carbonate John A. Wojtowicz Chemcon Laboratory tests with clear solutions showed that precipitation of calcium carbonate does not occur in the ph range 7.5 to

More information

SELECTIVE DISSOLUTION AND CORROSION FATIGUE BEHAVIORS OF 2205 DUPLEX STAINLESS STEEL

SELECTIVE DISSOLUTION AND CORROSION FATIGUE BEHAVIORS OF 2205 DUPLEX STAINLESS STEEL W.-T. Tsai, I.-H. Lo Department of Materials Science and Engineering National Cheng Kung University Tainan, Taiwan SELECTIVE DISSOLUTION AND CORROSION FATIGUE BEHAVIORS OF 2205 DUPLEX STAINLESS STEEL ABSTRACT

More information

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob Chemical Sputtering von Kohlenstoff durch Wasserstoff W. Jacob Centre for Interdisciplinary Plasma Science Max-Planck-Institut für Plasmaphysik, 85748 Garching Content: Definitions: Chemical erosion, physical

More information

Chemical reactions allow living things to grow, develop, reproduce, and adapt.

Chemical reactions allow living things to grow, develop, reproduce, and adapt. Section 2: Chemical reactions allow living things to grow, develop, reproduce, and adapt. K What I Know W What I Want to Find Out L What I Learned Essential Questions What are the parts of a chemical reaction?

More information

Experimental Study on Super-heated Steam Drying of Lignite

Experimental Study on Super-heated Steam Drying of Lignite Advanced Materials Research Vols. 347-353 (2012) pp 3077-3082 Online available since 2011/Oct/07 at www.scientific.net (2012) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/amr.347-353.3077

More information

Barrier Coatings: Conversion and Production Status

Barrier Coatings: Conversion and Production Status Transparent SiO 2 Barrier Coatings: Conversion and Production Status E. Finson and J. Felts, Airco Coating Technology, Concord, CA Keywords: Permeation barrier coatings; Reactive evaporation; SiO 2 ABSTRACT

More information

Chapter 11 PVD and Metallization

Chapter 11 PVD and Metallization Chapter 11 PVD and Metallization 2006/5/23 1 Metallization Processes that deposit metal thin film on wafer surface. 2006/5/23 2 1 Metallization Definition Applications PVD vs. CVD Methods Vacuum Metals

More information

Performance of Carbon-PTFE Electrodes and PTFE Separators in Electrochemical Double Layer Capacitors (EDLCs)

Performance of Carbon-PTFE Electrodes and PTFE Separators in Electrochemical Double Layer Capacitors (EDLCs) Performance of Carbon-PTFE Electrodes and PTFE Separators in Electrochemical Double Layer Capacitors (EDLCs) David Zuckerbrod, Robert Sassa, Marianne Szabo, Meagan Mizenko Abstract: W. L. Gore & Associates

More information

experiment5 Understanding and applying the concept of limiting reagents. Learning how to perform a vacuum filtration.

experiment5 Understanding and applying the concept of limiting reagents. Learning how to perform a vacuum filtration. 81 experiment5 LECTURE AND LAB SKILLS EMPHASIZED Synthesizing an organic substance. Understanding and applying the concept of limiting reagents. Determining percent yield. Learning how to perform a vacuum

More information

Lewis Dot Structures of Atoms and Ions

Lewis Dot Structures of Atoms and Ions Why? The chemical properties of an element are based on the number of electrons in the outer shell of its atoms. We use Lewis dot structures to map these valence electrons in order to identify stable electron

More information

Effect of the oxide film formed on the electrical properties of Cu-Zn alloy electric contact material

Effect of the oxide film formed on the electrical properties of Cu-Zn alloy electric contact material Effect of the oxide film formed on the electrical properties of Cu-Zn alloy electric contact material Hao-Long Chen *, Ke-Cheng Tseng and Yao-Sheng Yang Department of Electronic Engineering, Kao Yuan University,

More information

Problems in Welding of High Strength Aluminium Alloys

Problems in Welding of High Strength Aluminium Alloys Singapore Welding Society Newsletter, September 1999 Problems in Welding of High Strength Aluminium Alloys Wei Zhou Nanyang Technological University, Singapore E-mail: WZhou@Cantab.Net Pure aluminium has

More information

Graphite Furnace AA, Page 1 DETERMINATION OF METALS IN FOOD SAMPLES BY GRAPHITE FURNACE ATOMIC ABSORPTION SPECTROSCOPY (VERSION 1.

Graphite Furnace AA, Page 1 DETERMINATION OF METALS IN FOOD SAMPLES BY GRAPHITE FURNACE ATOMIC ABSORPTION SPECTROSCOPY (VERSION 1. Graphite Furnace AA, Page 1 DETERMINATION OF METALS IN FOOD SAMPLES BY GRAPHITE FURNACE ATOMIC ABSORPTION SPECTROSCOPY I. BACKGROUND (VERSION 1.0) Atomic absorption spectroscopy (AAS) is a widely used

More information

EQUIPMENT FOR THE CLEANING OF SILICON WAFERS USING SUPERCRITICAL FLUIDS

EQUIPMENT FOR THE CLEANING OF SILICON WAFERS USING SUPERCRITICAL FLUIDS EQUIPMENT FOR THE CLEANING OF SILICON WAFERS USING SUPERCRITICAL FLUIDS V. PERRUT* (1), J.-Y. CLAVIER (2), S. LAZURE (3), A. DANEL (4), C. MILLET (5) (1) RECIF S.A., Z.I. du moulin 31840 Aussonne France

More information

CENTRIFUGAL CASTING. Email: amitjoshi@iitb.ac.in amitjoshi1000@yahoo.ca

CENTRIFUGAL CASTING. Email: amitjoshi@iitb.ac.in amitjoshi1000@yahoo.ca CENTRIFUGAL CASTING Amit M Joshi (B.Engg. Mechanical, A.M.I.Prod.E, A.I.E) Dept. of Metallurgical Engg. & Material Science, Indian Institute of Technology Bombay, India. Email: amitjoshi@iitb.ac.in amitjoshi1000@yahoo.ca

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

Plasma Cleaner: Physics of Plasma

Plasma Cleaner: Physics of Plasma Plasma Cleaner: Physics of Plasma Nature of Plasma A plasma is a partially ionized gas consisting of electrons, ions and neutral atoms or molecules The plasma electrons are at a much higher temperatures

More information

Human serum albumin (HSA) nanoparticles stabilized with. intermolecular disulfide bonds. Supporting Information

Human serum albumin (HSA) nanoparticles stabilized with. intermolecular disulfide bonds. Supporting Information Human serum albumin (HSA) nanoparticles stabilized with intermolecular disulfide bonds Wentan Wang, Yanbin Huang*, Shufang Zhao, Ting Shao and Yi Cheng* Department of Chemical Engineering, Tsinghua University,

More information

EXPERIMENT 7 Reaction Stoichiometry and Percent Yield

EXPERIMENT 7 Reaction Stoichiometry and Percent Yield EXPERIMENT 7 Reaction Stoichiometry and Percent Yield INTRODUCTION Stoichiometry calculations are about calculating the amounts of substances that react and form in a chemical reaction. The word stoichiometry

More information

Fire-Damage or Freeze-Thaw of Strengthening Concrete Using Ultra High Performance Concrete

Fire-Damage or Freeze-Thaw of Strengthening Concrete Using Ultra High Performance Concrete Fire-Damage or Freeze-Thaw of Strengthening Concrete Using Ultra High Performance Concrete Ming-Gin Lee 1,a, Yi-Shuo Huang 1,b 1 Department of Construction Engineering, Chaoyang University of Technology,Taichung

More information

Through-mask Electro-etching for Fabrication of Metal Bipolar Plate Gas Flow Field Channels

Through-mask Electro-etching for Fabrication of Metal Bipolar Plate Gas Flow Field Channels 991 Downloaded 23 Dec 21 to 24.16.113.125. Redistribution subject to ECS license or copyright; see http://www.ecsdl.org/terms_use.jsp ECS Transactions, 33 (1) 991-16 (21) 1.1149/1.3484593 The Electrochemical

More information

CHARACTERIZATION OF POLYMERS BY TMA. W.J. Sichina, National Marketing Manager

CHARACTERIZATION OF POLYMERS BY TMA. W.J. Sichina, National Marketing Manager PERKIN ELMER Polymers technical note CHARACTERIZATION OF POLYMERS BY W.J. Sichina, National Marketing Manager Thermomechanical analysis () is one of the important characterization techniques in the field

More information

7.4. Using the Bohr Theory KNOW? Using the Bohr Theory to Describe Atoms and Ions

7.4. Using the Bohr Theory KNOW? Using the Bohr Theory to Describe Atoms and Ions 7.4 Using the Bohr Theory LEARNING TIP Models such as Figures 1 to 4, on pages 218 and 219, help you visualize scientific explanations. As you examine Figures 1 to 4, look back and forth between the diagrams

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

WATER CHEMISTRY AND POOL WATER BALANCE

WATER CHEMISTRY AND POOL WATER BALANCE C R6 H A PT E WATER CHEMISTRY AND POOL WATER BALANCE LEARNING OBJECTIVES After completely studying this chapter, you should be able to: Understand and list the parameters upon which water balance is based.

More information

Chapter 8 - Chemical Equations and Reactions

Chapter 8 - Chemical Equations and Reactions Chapter 8 - Chemical Equations and Reactions 8-1 Describing Chemical Reactions I. Introduction A. Reactants 1. Original substances entering into a chemical rxn B. Products 1. The resulting substances from

More information

Corrosion of Copper in Water

Corrosion of Copper in Water Corrosion of Copper in Water Digby D. Macdonald Center for Electrochemical Science and Technology Department of Materials Science and Engineering Pennsylvania State University 209 Steidle Bldg University

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Effects of Tg and CTE on Semiconductor Encapsulants

Effects of Tg and CTE on Semiconductor Encapsulants Effects of Tg and CTE on Semiconductor Encapsulants Dr. Mark M. Konarski Loctite Corporation www.loctite.com Abstract As the role of direct-chip-attachment increases in the electronics industry, the reliability

More information

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices Rapid Prototyping and Development of Microfluidic and BioMEMS Devices J. Sasserath and D. Fries Intelligent Micro Patterning System Solutions, LLC St. Petersburg, Florida (T) 727-522-0334 (F) 727-522-3896

More information