Techniques for removal of contamination from EUVL mask without surface damage

Size: px
Start display at page:

Download "Techniques for removal of contamination from EUVL mask without surface damage"

Transcription

1 Techniques for removal of contamination from EUVL mask without surface damage Sherjang Singh a*, Ssuwei Chen a, Tobias Wähler b, Rik Jonckheere c Ted Liang d, Robert J. Chen d, Uwe Dietze a a HamaTech APE USA, 1909 W. Braker Lane, Austin, TX 78758, USA b HamaTech APE, Ferdinand-von-Steinbeis-Ring 10, Sternenfels, Germany c IMEC vzw, Kapeldreef 75, B3001 Leuven, Belgium d Intel Corp., SC1-03, 2200 Mission College Blvd, Santa Clara, CA 95054, USA ABSTRACT Mask defectivity is an acknowledged road block for the introduction of EUV lithography (EUVL) for manufacturing. There are significant challenges to extend the conventional methods of cleaning developed for standard 193nm optical photomask to meet the specific requirements for EUV mask structure and materials. In this work, the use of UV activated media for EUV mask surface cleaning is evaluated and the effects on Ru capping layer integrity are compared against conventional cleaning methods. Ru layer surface is analyzed using roughness measurements (AFM) and reflectivity changes (EUV-R and optical). Key words: Capping layer integrity, contamination removal, surface restoration, EUV mask cleaning 1. INTRODUCTION With EUVL approaching high volume manufacturing (HVM) [1], mask defectivity remains one of the obstacles to commercial viability [2,3]. Key to overcoming this is development of a mask clean process that is effective for defect removal and preserves the integrity of the mask surface. Damage to the Ru capping surface degrades EUV reflectivity which can lead to critical dimension (CD) shift and non-uniformity [4] It has been shown that conventional 193i reticle cleaning processes will not be able to meet EUV reticle requirements. DIO 3 (ozonated water) for example significantly degrades the Ru surface due to galvanic corrosion and oxidation [5]. Standard SPM (H 2 SO 4 + H 2 O 2 ) and SC1 (NH 4 OH+H 2 O 2 +DI), while considered safe for Ru integrity [6], contributes a large number of process adders [7]. Furthermore, residual molecular contamination from acid- based processes has been identified as a major source of haze formation (progressive defects) in 193i lithography [8], and is expected to present the same problem for EUVL [9]. For these reasons, alternative cleaning techniques must be developed. viously, HamaTech demonstrated techniques for organic removal, surface preparation and residual ion removal without surface damage on the 193i masks [10-12]. These new techniques are based on POU UV exposure of the wet cleaning chemistry and the mask surface simultaneously. In this work, the effect of these techniques is evaluated on the surface integrity of EUVL mask capping layer (Ru). 2. EXPERIMENTAL 2.1 Materials To investigate the effects of various cleaning steps on surface integrity, as-deposited Ru capped EUV ML blanks were used. The ML blanks used were deposited with 2.5nm Ru on 4.1nm Si-top Mo/Si multilayer over a quartz substrate. As an exception, for studying carbon removal, a 3 nm carbon layer was intentionally deposited using magnetron sputtering on a blank that had 2.5nm Ru layer deposited on 8nm Si-top Mo/Si multilayer. To study particle removal efficiency, particles were deposited on the surface by dispensing a solution of sulfuric acid and hydrogen peroxide followed by a DI-water rinse. Such a mixture is known to be contaminated with a variety of inorganic (such as metallic) and organic particles. This was intended to create process-caused adders normally observed from conventional SPM-based cleaning. Extreme Ultraviolet (EUV) Lithography, edited by Bruno M. La Fontaine, Proc. of SPIE Vol. 7636, 76360Y 2010 SPIE CCC code: X/10/$18 doi: / Proc. of SPIE Vol Y-1

2 Such adders are believed to be more difficult to remove than those from handling and storage. To ensure stronger adhesion between the surface and the particles, the particles were allowed to age for one week. 2.2 Experimental All tests were performed using the POU UV exposure method. For the surface preparation test, DI-water was used as the medi For organic removal and ion removal, DIO 3 and hot DIW (DI-water) were used respectively. All the tests were also performed with conventional cleaning technologies for comparison. Other details on the tests are summarized in table 1. Test Cleaning Step Media Concentration/ UV Process Time No. Condition Activation 1 DIW Yes Surface paration n/a 2 N2 Gas 172nm VUV 10 T o 3 Yes High 4 Organic Removal DIO 3 No 5 T o 5 Low Yes 9 Temp = T o C Ion Removal W 10 Temp = (T-10) o C Off 5 T o 11 Carbon Removal DIW n/a 2 T o Table 1: Table showing process condition summary of various tests performed for different cleaning steps using UV activation method and its comparison with conventional method. Process times longer than baseline (T o ) were used for accelerated effects. 2.3 Characterization Methods Ru integrity was characterized with an atomic force microscope (AFM) to determine surface roughness, a EUVreflectometer (EUV-R) was used to measure reflectivity at EUV wavelength, and an optical reflectance instrument was utilized for reflectivity measurements above 190nm. In addition, a Lasertec M1350 blank inspection system was used to evaluate the effectiveness of defect removal. Several sites on each sample were measured before and after each experiment. There was a 1 measurement uncertainty in AFM surface roughness (corresponding to the noise floor of the instrument) and a 0.25 % measurement error in absolute EUV-reflectivity. 3. RESULTS & DISCUSSION 3.1 Effect of DIO 3 photolysis on surface integrity (Organic Removal Technique) Figures 1 (a, b), shows a comparison of the effect of POU (Point Of Use) UV photolyzed DIO 3 process and a conventional DIO 3 process (no UV) on surface roughness and EUV-reflectivity. 2 1 Relative Change in Roughness for 5 X process (Initial roughness same for all) Off Low Conc Absolute Change in EUV Reflectivity (5 X process) Off Low Conc. Figure 1: Plot showing comparison between effect of photolyzed DIO 3 process and conventional DIO 3 process on a) Ru surface roughness and b) EUV reflectivity. Photolyzed DIO 3 process shows negligible change in surface roughness and EUV reflectivity whereas conventional DIO 3 process damages the surface significantly. Proc. of SPIE Vol Y-2

3 Results for the conventional DIO 3 process support the literature findings [5], i.e., there is significant surface degradation. However, photolyzed DIO 3 process does not produce significant change in surface roughness and EUV reflectivity. This is attributed to the fact that DIO 3 photolysis decomposes ozone to produce hydroxyl radicals, which react primarily under the hydrogen abstraction mechanism [13,14]. viously, it was observed that organic removal rates were an order of magnitude faster with this method compared to conventional DIO 3 processes [10]. Figure 2 shows the optical reflectivity of the Ru surface before and after the same DIO 3 tests as discussed above. Optical reflectivity results correlate well with the changes in surface roughness and EUV-R in Figure 1. Surface treatment with conventional DIO 3 process results in a significant drop in optical reflectivity, whereas the photolyzed DIO 3 process shows no change. 8 6 DIO3 (UV on) 8 6 c. Figure 2: and post Optical reflectivity plots for a) High conc. DIO 3 (UV on) b) High conc. DIO 3 (UV off) c) Low conc. DIO 3 (UV on). Conventional DIO 3 process shows a signficant drop in optical reflectivity. DIO3 (UV off) 8 6 Low Conc. DIO3 (UV on) 3.2 Effect of UV activated DI-water (Surface paration Technique) viously, it was reported that UV-exposed DI water is equally effective as conventional dry 172nm UV treatment in preparation of the mask surface for wet cleaning [12]. Here, the technique of exposing a Ru blank to DI-water and UV (wavelength > 200nm) simultaneously at POU is evaluated and the effect on surface roughness and reflectivity is determined. Figure 3 compares the surface impact of this process with a traditional dry 172nm UV exposure under N Relative Change in Roughness for 10 X process (Initial roughness same for all) DI-Water + POU UV N2 Gas + 172nm VUV Absolute change in EUV Reflectivity (10 X process) DI-Water + POU UV N2 Gas + 172nm VUV Figure 3: Plot showing comparison between effect of new surface preparation process and 172nm UV process on a) Ru surface roughness and b) EUV reflectivity. UV-exposed DI process shows no change in roughness and EUV reflectivity. Whereas the 172nm UV process results in a slight increase in roughness and small drop in EUV reflectivity, the new process shows no change in either. Optical reflectance changes were equivalent for both processes (data not shown). 3.3 Effect of UV activation with Hot DI-water (Ion Removal Technique) Ion removal with conventional W rinse is achieved at a cost to cleanliness. This process is known to add particles due to contamination from the quartz heater system when used set at a high enough temperature [15]. Lowering the heater temperature setpoint significantly reduces adders. viously, the use of UV exposed DI water to lower the heater temperature while preserving the ion removal capability was investigated [16]. With this method, heater temperature could be lowered by 10 o C and remain equally effective. In fact, due to the UV activation, this process was found to be 77% more effective at a lower heater temperature than the conventional W process. Proc. of SPIE Vol Y-3

4 Figure 4 shows the effect of this method on Ru surface roughness and EUV reflectivity and is compared with regular W process. Results show that both the processes are equally safe for Ru capped multi-layers. There is no significant change observed in surface roughness and EUV reflectivity. Optical reflectance data also remained unchanged (data not shown). 2 1 Relative Change in Roughness for 5 X process (Initial roughness same for all) UV Off UV Absolute change in EUV Reflectivity (5 X process) UV Off UV Figure 4: Plot showing comparison between effect of UV assisted process (at T-10 o C) and regular (at T o C) process a) Ru surface roughness and b) EUV reflectivity. Both processes show no effect on surface. 3.4 Effect of full cleaning process A complete cleaning sequence of POU UV DIW + POU UV photolyzed DIO 3 + megasonic cleaning was performed on a Ru capped EUVL blank. Figure 5.a shows that the surface roughness change is negligible for the full process. Figure 5 (b&c) indicate that there is no change in EUV and optical reflectivity after the same process. Thus it is demonstrated that this process is capable of preserving the Ru surface integrity. 2 1 Relative change in Roughness, 1 X process (Initial roughness pristine) Full Cleaning Process Absolute change in EUV-R (1 X process) Full Cleaning Recipe Optical Reflectivity Change for 1 X process c. Figure 5: Plot showing effect of a full cleaning process on a) Ru surface roughness b) EUV reflectivity c) Optical reflectivity. The full cleaning process is capable of preserving the Ru layer integrity. 8 6 Full cleaning process Furthermore, particle removal tests indicate greater than 95% removal effectiveness on deposited defects (Figure 6). 3.5 Carbon Removal Figure 7a shows the reflectivity measurements on Ru blank before carbon deposition, after carbon deposition and after POU UV activated DIW cleaning process. Figure 7.b displays the EUV reflectivity spectra for these three conditions. The reflectivity is dropped after carbon deposition by ~ 1.9%. The POU UV DIW treatment increases the reflectivity by ~1.5 %. The reflectivity is partially restored because the cleaning process was carried for a limited time; longer exposures are needed for complete removal. Proc. of SPIE Vol Y-4

5 Figure 6: a) Lasertec defect map of deposited particles on the Ru surface. b) Lasertec defect map of Ru surface post cleaning process showing un-removed deposited particles. Absolute EUV-R (%) EUV reflectivity after different treatments Clean Blank (no carbon) 3nm Carbon Deposition Cleaning with UV-DI EUV Reflectivity (%) After UV-DI Clean Carbon Deposition No carbon EUV Reflectivity (%) Figure 7. ) Plot showing EUV reflectivity for a pristine blank, EUV reflectivity after carbon deposition and after cleaning with POU UV DIW process. b) shows the EUV reflectivity spectra for the three different conditions. POU UV DIW process demonstrates that the reflectivity can be restored after cleaning, signifying carbon removal. 4. CONCLUSIONS Conventional DIO 3 cleaning significantly increases surface roughness and decreases EUV reflectivity. In comparison, there is no change in either with the DIO 3 photolysis process proposed here. Surface preparation with >200nm wavelength POU UV exposure and DIW is also demonstrated to be equal or better than the conventional dry 172nm UV exposure. Lastly, POU UV activated warm DIW is shown to be as safe for the Ru surface as conventional W Proc. of SPIE Vol Y-5

6 alone. Together, the complete cleaning process yields >95 % PRE with negligible surface damage as determined by surface roughness and EUV/optical reflectivity. ACKNOWLEDGEMENT Authors would like to acknowledge Kurt Ronse (IMEC), Bart Baudemprez (IMEC), Dr. Rahim Farouhi (n&k Technology Inc.), and Dr. Peter Dress (HamaTech APE) for their valuable support. Other co-workers at HamaTech APE, IMEC and Intel Corp. are also acknowledged for their help during various stages of this study. REFERENCES 1. Levinson, H.J., Extreme ultraviolet lithography s path to manufacturing, J. Micro/Nanolith. MEMS MOEMS, 8 (4), (2009) 2. Liang T. et al, EUV Mask Pattern Defect Printability, BACUS News, 22 (10), pp. 1-11(2006), 3. Jonckheere, R. et al, Investigation of EUV Mask Defectivity via Full-Field Printing and Inspection on Wafer, SPIE Proc. Vol (2009) 4. Jonckheere, R et al, Investigation of mask defectivity in full field EUV lithography, SPIE Proc. Vol (2008) 5. Shimomura, T. et al, Chemical durability studies of Ru-capped EUV mask blanks, SPIE Proc. Vol. 7122, pp (2008) 6. Yan, P.Y. et al, Characterization of ruthenium thin films as capping layer for extreme ultraviolet lithography mask blanks, J. Vac. Sci. Technol. B, Vol. 25 (6), pp (2007) 7. Kapila, V. et al, A method to determine the origin of remaining particles after mask blank cleaning, SPIE Proc. Vol. 6730, pp L (2007) 8. Kalk, F. et al, Photomask Defectivity and Cleaning: A New Milieu, Semiconductor International (2007) 9. Sengupta, A. et al, Is HVM EUV Mask Micro-contamination a Significant Risk to Mask Lifetime, Sematech - 6th Annual Mask Cleaning Workshop, Monterey, CA (2009) 10. Singh, S. et al, Study on surface integrity in photomask resist strip and final cleaning processes, SPIE Proc. Vol (2009) 11. Singh, S. et al, Automated imprint mask cleaning for Step-and-Flash Imprint Lithography, SPIE Proc. Vol (2009) 12. Singh, S. et al, An advanced method to condition and clean photomask surfaces without damage Sematech - 6th Annual Mask Cleaning Workshop, Monterey, CA (2009) 13. Ledakowicz, S. et al, Oxidation of PAHs in water solution by ozone combined with ultraviolet radiation International Journal of Photoenergy, Vol. 3, , (2001) 14. Legrini, O. et al, Photochemical Processes for Water Treatment Chem. Rev., 93, pp , (1993) 15. Shimomura, T. et al, 50nm particle removal from EUV mask blank using standard wet clean, Sematech - 6th Annual Mask Cleaning Workshop, Monterey, CA (2009) 16. Singh, S. et al, serving the mask integrity for the lithography process Photomask Japan 2010, 17th International Symposium on Photomasks and NGL Mask Technology, Paper No , (2010) Proc. of SPIE Vol Y-6

A Study of Haze Generation as Thin Film Materials

A Study of Haze Generation as Thin Film Materials A Study of Haze Generation as Thin Film Materials Ju-Hyun Kang, Han-Sun Cha*, Sin-Ju Yang, Chul-Kyu Yang, Jin-Ho Ahn*, Kee-Soo Nam, Jong-Min Kim**, Manish Patil**, Ik-Bum Hur** and Sang-Soo Choi** Blank

More information

Additional evidence of EUV blank defects first seen by wafer printing

Additional evidence of EUV blank defects first seen by wafer printing Additional evidence of EUV blank defects first seen by wafer printing Rik Jonckheere, Dieter Van den Heuvel, Tristan Bret a, Thorsten Hofmann a, John Magana b, Israel Aharonson c, Doron Meshulach c, Eric

More information

Mask Cleaning Processes and Challenges

Mask Cleaning Processes and Challenges Mask Cleaning Processes and Challenges Brian J. Grenon Grenon Consulting, Inc. 92 Dunlop Way Colchester, VT 05446 Phone: 802-862-4551 Fax: 802-658-8952 e-mail bgrenon@together.net Mask Supply Workshop

More information

DI-water technology for photoresist removal. shifts from 200- to 300-mm wafers, the use of ozonated deionized water (DIO 3

DI-water technology for photoresist removal. shifts from 200- to 300-mm wafers, the use of ozonated deionized water (DIO 3 Using an ozonated- DI-water technology for photoresist removal Jae-Inh Song, Richard Novak, Ismail Kashkoush, and Pieter Boelen, Akrion As the semiconductor industry shifts from 200- to 300-mm wafers,

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

PROVE, the next generation registration metrology tool, status report

PROVE, the next generation registration metrology tool, status report PROVE, the next generation registration metrology tool, status report Dirk Beyer a, Patricia Gabella b, Greg Hughes b, Gerd Klose c, Norbert Rosenkranz a a Carl Zeiss SMS GmbH (Germany) Carl-Zeiss-Promenade

More information

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2 Solid State Phenomena Vol. 134 (2008) pp 359-362 Online available since 2007/Nov/20 at www.scientific.net (2008) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.134.359 Metal Hard

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Effect of Dissolved CO 2 in De-ionized Water in Reducing Wafer Damage During Megasonic Cleaning in MegPie. Arizona 85721, USA. Arizona 85721, USA

Effect of Dissolved CO 2 in De-ionized Water in Reducing Wafer Damage During Megasonic Cleaning in MegPie. Arizona 85721, USA. Arizona 85721, USA Effect of Dissolved CO 2 in De-ionized Water in Reducing Wafer Damage During Megasonic Cleaning in MegPie S. Kumari a, M. Keswani a, S. Singh b, M. Beck c, E. Liebscher c, L. Q. Toan d and S. Raghavan

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Utilization of AIMS Bossung plots to predict Qz height deviations from nominal

Utilization of AIMS Bossung plots to predict Qz height deviations from nominal Utilization of AIMS Bossung plots to predict Qz height deviations from nominal Anthony Garetto 1, Doug Uzzel 2, Krister Magnusson 1, Jon Morgan 2, Gilles Tabbone 1 1 Carl Zeiss SMS, Carl-Zeiss-Promenade

More information

What is optical lithography? The optical system Production process Future and limits of optical lithography References. Optical lithography

What is optical lithography? The optical system Production process Future and limits of optical lithography References. Optical lithography Optical lithography Robin Nagel TUM 12. Januar 2009 Robin Nagel (TUM) Optical lithography 12. Januar 2009 1 / 22 1 What is optical lithography? 1 The optical system 1 Production process 1 Future and limits

More information

Concepts and principles of optical lithography

Concepts and principles of optical lithography 1/56 2/56 Concepts and principles of optical lithography Francesc Pérez-Murano Institut de Microelectrònica de Barcelona (CNM-IMB, CSIC) Francesc.Perez@cnm.es 10 cm mà blia 1 cm Gra de sorra Xip 1 mm 100

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system Measurement results on after etch resist coated features on the new Leica Microsystems LWM27 DUV critical dimension metrology system John Whittey Leica Microsystems Inc. 1761 Dixon Road, Oakdale, CA 95361

More information

Lecture 30: Cleanroom design and contamination control

Lecture 30: Cleanroom design and contamination control Lecture 30: Cleanroom design and contamination control Contents 1 Introduction 1 2 Contaminant types 2 2.1 Particles.............................. 2 2.2 Metal ions............................. 4 2.3 Chemicals.............................

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING Gim S. Chen, Ismail Kashkoush, and Rich E. Novak AKrion LLC 633 Hedgewood Drive, #15 Allentown, PA 1816, USA ABSTRACT Ozone-based HF chemistry

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS *

USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS * USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS * JOHN LOO Samsung Austin Semiconductor 12100 Samsung Blvd. Austin, Texas 78754 * Presented at the AVS 5 th International

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

Influence of CO2 Bubbling (Carbonation) During Semiconductor Wafer Sawing Process. KP Yan, Reinhold Gaertner, KK Ng

Influence of CO2 Bubbling (Carbonation) During Semiconductor Wafer Sawing Process. KP Yan, Reinhold Gaertner, KK Ng Influence of CO2 Bubbling (Carbonation) During Semiconductor Wafer Sawing Process KP Yan, Reinhold Gaertner, KK Ng Purpose To study the impact of ultra-clean de-ionized (DI) water at semiconductor wafer

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Package Trends for Mobile Device

Package Trends for Mobile Device Package Trends for Mobile Device On-package EMI Shield At CTEA Symposium Feb-10, 2015 Tatsuya Kawamura Marketing, Director TEL NEXX, Inc. Love Thinner Mobile? http://www.apple.com/ iphone is registered

More information

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS Vojtěch SVATOŠ 1, Jana DRBOHLAVOVÁ 1, Marian MÁRIK 1, Jan PEKÁREK 1, Jana CHOMOCKÁ 1,

More information

MISCIBILITY AND INTERACTIONS IN CHITOSAN AND POLYACRYLAMIDE MIXTURES

MISCIBILITY AND INTERACTIONS IN CHITOSAN AND POLYACRYLAMIDE MIXTURES MISCIBILITY AND INTERACTIONS IN CHITOSAN AND POLYACRYLAMIDE MIXTURES Katarzyna Lewandowska Faculty of Chemistry Nicolaus Copernicus University, ul. Gagarina 7, 87-100 Toruń, Poland e-mail: reol@chem.umk.pl

More information

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson What s New in Lithography? Wafer dimensions are still accelerating downward towards ever smaller features

More information

EUV lithography NXE platform performance overview

EUV lithography NXE platform performance overview EUV lithography NXE platform performance overview Rudy Peeters 2014 SPIE Advanced Lithography, San Jose CA, 9048-54 Slide 2 Contents Roadmap NXE:3100 NXE:3300B Summary and acknowledgements ASML EUV technology

More information

Cymer s Light Source Development for EUV Lithography. Bob Akins, Chairman & CEO

Cymer s Light Source Development for EUV Lithography. Bob Akins, Chairman & CEO Cymer s Light Source Development for EUV Lithography Bob Akins, Chairman & CEO Outline Introduction EUVL critical issues EUV sources Cymer Source Development Overview Power scaling Technology Outlook January

More information

Pulsed laser deposition of organic materials

Pulsed laser deposition of organic materials Pulsed laser deposition of organic materials PhD theses Gabriella Kecskeméti Department of Optics and Quantum Electronics University of Szeged Supervisor: Dr. Béla Hopp senior research fellow Department

More information

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Thomas Waechtler a, Bernd Gruska b, Sven Zimmermann a, Stefan E. Schulz a, Thomas Gessner a a Chemnitz University

More information

Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser

Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser V.Nassisi #, G.Caretto #, A. Lorusso #, D.Manno %, L.Famà %, G.Buccolieri %, A.Buccolieri %, U.Mastromatteo* # Laboratory of Applied

More information

LAB TOPIC 4: ENZYMES. Enzyme catalyzed reactions can be expressed in the following way:

LAB TOPIC 4: ENZYMES. Enzyme catalyzed reactions can be expressed in the following way: LAB TOPIC 4: ENZYMES Objectives Define enzyme and describe the activity of enzymes in cells. Discuss the effects of varying enzyme concentrations on the rate of enzyme activity. Discuss the effects of

More information

Photolithography (source: Wikipedia)

Photolithography (source: Wikipedia) Photolithography (source: Wikipedia) For earlier uses of photolithography in printing, see Lithography. For the same process applied to metal, see Photochemical machining. Photolithography (also called

More information

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices Rapid Prototyping and Development of Microfluidic and BioMEMS Devices J. Sasserath and D. Fries Intelligent Micro Patterning System Solutions, LLC St. Petersburg, Florida (T) 727-522-0334 (F) 727-522-3896

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure Ivan Lalovic a+, Nigel Farrar* b, Kazuhiro Takahashi c, Eric Kent a, Daniel Colon b, German Rylov b, Alden Acheta a, Koji Toyoda d, Harry

More information

Secondary Ion Mass Spectrometry

Secondary Ion Mass Spectrometry Secondary Ion Mass Spectrometry A PRACTICAL HANDBOOK FOR DEPTH PROFILING AND BULK IMPURITY ANALYSIS R. G. Wilson Hughes Research Laboratories Malibu, California F. A. Stevie AT&T Bell Laboratories Allentown,

More information

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004 How to Build a Printed Circuit Board 1 This presentation is a work in progress. As methods and processes change it will be updated accordingly. It is intended only as an introduction to the production

More information

Technical Info Sheet. Ionic contamination testing in a no-clean soldering process. Scope

Technical Info Sheet. Ionic contamination testing in a no-clean soldering process. Scope Scope This document provides information about ionic contamination testing and its relevance in a no-clean. Also so-called C3 testing and ROSE (Resistivity of Solvent Extract) testing are forms of ionic

More information

AIMS EUV. Status of Concept and Feasibility Study. Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz*

AIMS EUV. Status of Concept and Feasibility Study. Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz* AIMS EUV Status of Concept and Feasibility Study 20.10.2010 Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz* *Carl Zeiss SMS GmbH, Jena,Germany **Carl Zeiss SMT GmbH,

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

Research for leachables of nuclear grade cation exchange resin

Research for leachables of nuclear grade cation exchange resin International Journal of Smart Grid and Clean Energy Research for leachables of nuclear grade cation exchange resin Liang-Cheng Chen, Dah-Yu Kao, Tung-Jen Wen, Ping-Ji Huang Institute of Nuclear Energy

More information

Use of Carbon Nanoparticles for the Flexible Circuits Industry

Use of Carbon Nanoparticles for the Flexible Circuits Industry Use of Carbon Nanoparticles for the Flexible Circuits Industry Ying (Judy) Ding, Rich Retallick MacDermid, Inc. Waterbury, Connecticut Abstract FPC (Flexible Printed Circuit) has been growing tremendously

More information

NANOSTRUCTURED ZnO AND ZAO TRANSPARENT THIN FILMS BY SPUTTERING SURFACE CHARACTERIZATION

NANOSTRUCTURED ZnO AND ZAO TRANSPARENT THIN FILMS BY SPUTTERING SURFACE CHARACTERIZATION Rev.Adv.Mater.Sci. Nanostructured ZnO 10 and (2005) ZAO 335-340 transparent thin films by sputtering surface characterization 335 NANOSTRUCTURED ZnO AND ZAO TRANSPARENT THIN FILMS BY SPUTTERING SURFACE

More information

SUSS MicroTec - Capital Markets Day

SUSS MicroTec - Capital Markets Day SUSS MicroTec - Capital Markets Day Program 10:00 10:30 11:30 13:00 14:00 Welcome and Introduction Overview product lines: Mask Aligner Coater/Developer Substrate Bonder Photomask Equipment Site Visit

More information

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Introduction............................. 2. Electrostatic Charging of Samples in Photoemission Experiments............................

More information

EUV Source Technology: Challenges and Status

EUV Source Technology: Challenges and Status Chapter 1 EUV Source Technology: Challenges and Status Vivek Bakshi Contents 1.1 Introduction 4 1.2 Conversion Efficiency of EUV Sources 4 1.2.1 DPP versus LPP 4 1.2.2 Xe, Sn, and Li conversion efficiency

More information

RCA CLEANING FOR 2 WAFERS

RCA CLEANING FOR 2 WAFERS Allowed Chemicals: NH4OH(Ammonium Hydroxide), H2O2(Hydrogen Peroxide) HCl(Hydrochloric acid) HF(Hydrofluoric acid) Recipe: RCA CLEANING FOR 2 WAFERS Preparation of 2% HF:192 ml DI water+8 ml of(49%) HF.

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Optical Properties of Thin Film Molecular Mixtures

Optical Properties of Thin Film Molecular Mixtures Optical Properties of Thin Film Molecular Mixtures Donald A. Jaworske NASA Glenn Research Center 2 Brookpark Road Cleveland, OH 4435 e-maih Donald. A.J aworske((_grc.nasa.gov Dean A. Shumway Brigham Young

More information

Warranty. www.tissot.ch 1/5. Warranty

Warranty. www.tissot.ch 1/5. Warranty Warranty Warranty Your TISSOT watch is warranted by TISSOT S.A. for a period of twenty-four (24) months from the date of purchase under the terms and conditions of this warranty. The international TISSOT

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Contamination Transport from Wafer to Lens

Contamination Transport from Wafer to Lens Contamination Transport from Wafer to Lens Immersion Lithography Symposium August 4, 2004 Greg Nellis, Roxann Engelstad, Edward Lovell, Alex Wei, Mohamed El-Morsi Computational Mechanics Center, University

More information

Inventory of Performance Monitoring Tools for Subsurface Monitoring of Radionuclide Contamination

Inventory of Performance Monitoring Tools for Subsurface Monitoring of Radionuclide Contamination Inventory of Performance Monitoring Tools for Subsurface Monitoring of Radionuclide Contamination H. Keith Moo-Young, Professor, Villanova University Ronald Wilhelm, Senior Scientist, U.S. EPA, Office

More information

To meet the requirements of demanding new

To meet the requirements of demanding new Optimising LED manufacturing LED manufacturers seek new methods to reduce manufacturing costs and improve productivity in an increasingly demanding market. Tom Pierson, Ranju Arya, Columbine Robinson of

More information

A Laboratory Approach to Semiconductor Process Technology

A Laboratory Approach to Semiconductor Process Technology A Laboratory Approach to Semiconductor Process Technology Mary Jane Willis Manufacturing Technology Program Albuquerque TVI, A Community College Albuquerque, New Mexico March, 1998 ABSTRACT The recent

More information

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b a Cymer Inc. 77 Thornmint Ct., San Diego, CA 97 USA

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

QUALANOD SPECIFICATIONS UPDATE SHEET Nº 9 Edition 01.07.2010 22.11.11 page 1/5 COLD SEALING PROCESS

QUALANOD SPECIFICATIONS UPDATE SHEET Nº 9 Edition 01.07.2010 22.11.11 page 1/5 COLD SEALING PROCESS page 1/5 COLD SEALING PROCESS Proposal QUALITAL QUALANOD resolution: Meeting in June 2010, October 2011 Date of application: 1 January 2012 Parts of the Specifications concerned: Section 3.3.6 furthermore

More information

Good Boards = Results

Good Boards = Results Section 2: Printed Circuit Board Fabrication & Solderability Good Boards = Results Board fabrication is one aspect of the electronics production industry that SMT assembly engineers often know little about.

More information

The Empirical Formula of a Compound

The Empirical Formula of a Compound The Empirical Formula of a Compound Lab #5 Introduction A look at the mass relationships in chemistry reveals little order or sense. The ratio of the masses of the elements in a compound, while constant,

More information

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc. Failure Analysis System for Submicron Semiconductor Devices 68 Failure Analysis System for Submicron Semiconductor Devices Munetoshi Fukui Yasuhiro Mitsui, Ph. D. Yasuhiko Nara Fumiko Yano, Ph. D. Takashi

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 16, No. 2, pp. 90-94, April 25, 2015 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2015.16.2.90 OAK Central:

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

Supporting information

Supporting information Supporting information Ultrafast room-temperature NH 3 sensing with positively-gated reduced graphene oxide field-effect transistors Ganhua Lu 1, Kehan Yu 1, Leonidas E. Ocola 2, and Junhong Chen 1 * 1

More information

Electrophoretic Gold Nanoparticles Depostion On Carbon Nanotubes For NO 2 Sensors

Electrophoretic Gold Nanoparticles Depostion On Carbon Nanotubes For NO 2 Sensors European Network on New Sensing Technologies for Air Pollution Control and Environmental Sustainability - EuNetAir COST Action TD1105 2 nd International Workshop EuNetAir on New Sensing Technologies for

More information

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob Chemical Sputtering von Kohlenstoff durch Wasserstoff W. Jacob Centre for Interdisciplinary Plasma Science Max-Planck-Institut für Plasmaphysik, 85748 Garching Content: Definitions: Chemical erosion, physical

More information

Avoiding Burning Through: Control the Inside Surface Temperature, Not the Pressure

Avoiding Burning Through: Control the Inside Surface Temperature, Not the Pressure Originally published in the Canadian Welding Association Journal, Spring 2013, pp 30 39 Welding on In service Pipelines: Dispelling Popular Myths and Misconceptions Bill Amend Sr. Principal Engineer, Welding

More information

Colorimetric Determination of Iron in Vitamin Tablets

Colorimetric Determination of Iron in Vitamin Tablets Cautions: 6 M hydrochloric acid is corrosive. Purpose: To colorimetrically determine the mass of iron present in commercial vitamin tablets using a prepared calibration curve. Introduction: Iron is considered

More information

The First Step in Effluent Treatment

The First Step in Effluent Treatment ADVANCED OXIDATION PILOT PLANTS FOR WASTEWATER & GAS TREATMENT The First Step in Effluent Treatment OZONO ELETTRONICA INTERNAZIONALE S.R.L. has developed and tested a new advanced oxidation pilot plant,

More information

Focused Ion beam nanopatterning: potential application in photovoltaics

Focused Ion beam nanopatterning: potential application in photovoltaics Focused Ion beam nanopatterning: potential application in photovoltaics Research Infrastructure: Location: FIB-Focused Ion Beam ENEA Portici (Italy) Date March, 26 2013 Speakers: Vera La Ferrara, ENEA

More information

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Songlin Xu, a Ce Qin, Li Diao, Dave Gilbert, Li Hou, and Allan Wiesnoski Mattson Technology, Inc., Fremont,

More information

White rust on galvanized and galvanized pre-painted steel. Technical Information

White rust on galvanized and galvanized pre-painted steel. Technical Information Technical Information White rust on galvanised steel Prevention It is easier to prevent white rust than to cure it! Reasonable precautions to protect steel during both transport and storage can considerably

More information

Crevice Corrosion on Stainless Steel Propeller Shafts

Crevice Corrosion on Stainless Steel Propeller Shafts Crevice Corrosion on Stainless Steel Propeller Shafts A Quick Summary: What is it? How to Prevent it. How to Repair it. Stainless steel propeller shafts and running gear are subject to pitting & crevice

More information

How compact discs are made

How compact discs are made How compact discs are made Explained by a layman for the laymen By Kevin McCormick For Science project at the Mountain View Los Altos High School Abstract As the major media for music distribution for

More information

Electroplating with Photoresist Masks

Electroplating with Photoresist Masks Electroplating with Photoresist Masks Revised: 2014-01-17 Source: www.microchemicals.com/downloads/application_notes.html Electroplating - Basic Requirements on the Photoresist Electroplating with photoresist

More information

Corrosion of Copper in Water

Corrosion of Copper in Water Corrosion of Copper in Water Digby D. Macdonald Center for Electrochemical Science and Technology Department of Materials Science and Engineering Pennsylvania State University 209 Steidle Bldg University

More information

1. PECVD in ORGANOSILICON FED PLASMAS

1. PECVD in ORGANOSILICON FED PLASMAS F. FRACASSI Department of Chemistry, University of Bari (Italy) Plasma Solution srl SURFACE MODIFICATION OF POLYMERS AND METALS WITH LOW TEMPERATURE PLASMA OUTLINE METAL TREATMENTS 1 low pressure PECVD

More information

Safety, Cleaning, and Chemical Disposal Procedures

Safety, Cleaning, and Chemical Disposal Procedures Safety, Cleaning, and Chemical Disposal Procedures 1. Using Acids At many points in the fabrication process strong acids are used as etchants. These cause severe burns if kept in contact with your skin

More information

PLASMA TECHNOLOGY OVERVIEW

PLASMA TECHNOLOGY OVERVIEW PLASMA TECHNOLOGY OVERVIEW Plasmas are not a lab curiosity. Plasma processing has been an essential production tool for more than 30 years in the fabrication of microelectronic devices for example. Over

More information

New 3-Dimensional AFM for CD Measurement and Sidewall Characterization

New 3-Dimensional AFM for CD Measurement and Sidewall Characterization New 3-Dimensional AFM for CD Measurement and Sidewall Characterization ASTRACT Yueming Hua *, Cynthia uenviaje-coggins Park Systems Inc. 34 Olcott St. Santa Clara, CA 9554, USA Yong-ha Lee, Jung-min Lee,

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

Supporting information. S1 State of the art of ATM security systems

Supporting information. S1 State of the art of ATM security systems Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2014 Supporting information S1 State of the art of ATM security systems Scheme

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

Ch. 6 Chemical Composition and Stoichiometry

Ch. 6 Chemical Composition and Stoichiometry Ch. 6 Chemical Composition and Stoichiometry The Mole Concept [6.2, 6.3] Conversions between g mol atoms [6.3, 6.4, 6.5] Mass Percent [6.6, 6.7] Empirical and Molecular Formula [6.8, 6.9] Bring your calculators!

More information

The study of structural and optical properties of TiO 2 :Tb thin films

The study of structural and optical properties of TiO 2 :Tb thin films Optica Applicata, Vol. XXXVII, No. 4, 2007 The study of structural and optical properties of TiO 2 :Tb thin films AGNIESZKA BORKOWSKA, JAROSLAW DOMARADZKI, DANUTA KACZMAREK, DAMIAN WOJCIESZAK Faculty of

More information

Fire Event Electronic and Mechanical System Damage Mechanisms Is Acid Gas for Real?

Fire Event Electronic and Mechanical System Damage Mechanisms Is Acid Gas for Real? Equipment Damage Consultants, LLC Fire Event Electronic and Mechanical System Damage Mechanisms Is Acid Gas for Real? Irreparable damage to electronic equipment and mechanical systems away from the actual

More information

Chem 1A Exam 2 Review Problems

Chem 1A Exam 2 Review Problems Chem 1A Exam 2 Review Problems 1. At 0.967 atm, the height of mercury in a barometer is 0.735 m. If the mercury were replaced with water, what height of water (in meters) would be supported at this pressure?

More information

Encoders for Linear Motors in the Electronics Industry

Encoders for Linear Motors in the Electronics Industry Technical Information Encoders for Linear Motors in the Electronics Industry The semiconductor industry and automation technology increasingly require more precise and faster machines in order to satisfy

More information

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication Total Management from Rule Definition to Silicon Fabrication Soichi Inoue, Toshiya Kotani, Shigeki Nojima, Satoshi Tanaka, Kohji Hashimoto, and Ichiro Mori & Manufacturing Engineering Center, Toshiba Corporation,

More information

1. Single sided PCB: conductors on only one surface of a dielectric base.

1. Single sided PCB: conductors on only one surface of a dielectric base. The Department of Electrical Engineering at IIT Kanpur has a variety of devices and machines to produce single layer, double layer plated through printed circuit boards (PCBs), multi layer (max 8 layers)

More information

Investor Presentation Q3 2015

Investor Presentation Q3 2015 Investor Presentation Q3 2015 Veeco Instruments 1 Investor Presentation Veeco at a Glance > Leading deposition and etch solutions provider; Veeco enables high-tech electronic device manufacturing > Founded

More information

Measurement & Analytics Measurement made easy

Measurement & Analytics Measurement made easy Measurement & Analytics Measurement made easy FTPA2000-SC Series Wet Process Analyzer designed to optimize your bath life without risk of contamination Solutions for semiconductor, FPD and solar cell manufacturing

More information