Chapter 7-1. Definition of ALD

Size: px
Start display at page:

Download "Chapter 7-1. Definition of ALD"

Transcription

1 Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates with atomic scale precision. Similar in chemistry to chemical vapor deposition (CVD), except that the ALD reaction breaks the CVD reaction into two half- reactions, keeping the precursor materials separate during the reaction. ALD film growth is self-limited limited and based on surface reactions, which makes achieving atomic scale deposition control possible. By keeping the precursors separate throughout the coating process, atomic layer thickness control of film grown can be obtained as fine as atomic/molecular scale per monolayer. 2 Ref: "Atomic Layer Deposition," Wikipedia: : The Free Encyclopedia, Wikimedia Foundation, 24 April 06. <

2 Definition of ALD 3 ALD is a method of applying thin films to various substrates with atomic scale precision. Similar in chemistry to CVD, except that the ALD reaction breaks the CVD reaction into two half-reactions, keeping the precursor materials separate during the reaction. ALD film growth is self-limited limited and based on surface reactions, which makes achieving atomic scale deposition control possible. By keeping the precursors separate throughout the coating process, atomic layer thickness control of film grown can be obtained as fine as atomic/molecular scale per monolayer. Ref: "Atomic Layer Deposition," Wikipedia: : The Free Encyclopedia, Wikimedia Foundation, 24 April 06. < Definition of ALD 4 ALD is a method of applying thin films to various substrates with atomic scale precision. Similar in chemistry to chemical vapor deposition (CVD), except that the ALD reaction breaks the CVD reaction into two half- reactions, keeping the precursor materials separate during the reaction. ALD film growth is self-limited and based on surface reactions, which makes achieving atomic scale deposition control possible. By keeping the precursors separate throughout the coating process, atomic layer thickness control of film grown can be obtained as fine as atomic/molecular scale per monolayer. Ref: "Atomic Layer Deposition," Wikipedia: : The Free Encyclopedia, Wikimedia Foundation, 24 April 06. <

3 Definition of ALD 5 ALD is a method of applying thin films to various substrates with atomic scale precision. Similar in chemistry to chemical vapor deposition (CVD), except that the ALD reaction breaks the CVD reaction into two half- reactions, keeping the precursor materials separate during the reaction. ALD film growth is self-limited limited and based on surface reactions, which makes achieving atomic scale deposition control possible. By keeping the precursors separate throughout the coating process, atomic layer thickness control of film grown can be obtained as fine as atomic/molecular scale per monolayer. Ref: "Atomic Layer Deposition," Wikipedia: : The Free Encyclopedia, Wikimedia Foundation, 24 April 06. < Brief History of ALD Introduced in 1974 by Dr. Tuomo Suntola and co-workers in Finland to improve the quality of ZnS films used in electroluminescent displays. Recently, it turned out that ALD also produces outstanding dielectric layers and attracts semiconductor industries for making High-K K dielectric materials. 6 Ref: "History of Atomic Layer Deposition (ALD)," Finnish MicroNanoTechnology Network (FMNT), 24 April <

4 Brief History of ALD 7 Introduced in 1974 by Dr. Tuomo Suntola and co-workers in Finland to improve the quality of ZnS films used in electroluminescent displays. Recently, it turned out that ALD method also produces outstanding dielectric layers and attracted semiconductor industries for making High-K K dielectric materials. Ref: "History of Atomic Layer Deposition (ALD)," Finnish MicroNanoTechnology Network (FMNT), 24 April < 8 Releases sequential precursor gas pulses to deposit a film one layer at a time on the substrate. The precursor gas is introduced into the process chamber and produces a monolayer of gas on the wafer surface. A second precursor of gas s is then introduced into the chamber reacting with the first precursor to produce a monolayer of film on the wafer surface. Example: ALD cycle for Al 2 O 3 deposition Since each pair of gas pulses (one cycle) produces exactly one monolayer m of film, the thickness of the resulting film may be precisely controlled by the number of deposition cycles. Ref: A. Knop Gericke Gericke,, "Preparation of Model Systems by Physical Methods," a lecture given at Modern Methods in Heterogeneous Catalysis Research Lecture Series, Fritz Haber Institute of the Max Planck Society. 24 April 06. <

5 Atomic Layer Deposition (ALD) Advantages of ALD: VERY thin films are possible as thin as 0.5-1Å (a single atomic thickness) more practically, 10-50Å 100% (perfect) step coverage over aspect ratios as high as 60:1 (DRAM trench capacitors) bottoms and sidewalls of vias and trenches are covered uniformly unequaled coverage of sharp corners Higher quality films Very low nonuniformity ( < 3% 1σ ) Lower leakage Improved reliability 9 Atomic Layer Deposition (ALD) Advantages of ALD (continued): Low consummable usage due to thinner film requirements Lower required substrate temperature allowing improved thermal budget compatibility 10

6 Atomic Layer Deposition (ALD) Disadvantages of ALD: Slow throughput debatable because the films are so thin some manufacturers are developing batch processing ALD tools others are working on higher-throughput alternatives High capital cost of tools may be offset somewhat because cost of ownership (COO) may be less Difficult to control contamination in films slow deposition rate allows plenty of time for impurities to incorporate in the film 11 A first precursor gas is introduced into the process chamber and produces a monolayer of gas on the wafer surface. Then a second precursor of gas is introduced into the chamber reacting with the first precursor to produce a monolayer of film on the wafer surface. Example: ALD cycle for Al 2 O 3 deposition Since each pair of gas pulses (one cycle) produces exactly one monolayer m of film, the thickness of the resulting film may be precisely controlled by the t number of deposition cycles. Ref: A. Knop Gericke Gericke,, "Preparation of Model Systems by Physical Methods," a lecture given at Modern Methods in Heterogeneous Catalysis Research Lecture Series, Fritz Haber Institute of the Max Planck Society. 24 April 06. < 12

7 A first precursor gas is introduced into the process chamber and produces a monolayer of gas on the wafer surface. Then a second precursor of gas is introduced into the chamber reacting with the first precursor to produce a monolayer of film on the wafer surface. Example: ALD cycle for Al 2 O 3 deposition (Step 1a) 13 Ref: "Atomic Layer Deposition," Cambridge NanoTech Inc., 24 April 06. < < A first precursor gas is introduced into the process chamber and produces a monolayer of gas on the wafer surface. Then a second precursor of gas is introduced into the chamber reacting with the first precursor to produce a monolayer of film on the wafer surface. Example: ALD cycle for Al 2 O 3 deposition (Step 1b) 14 Ref: "Atomic Layer Deposition," Cambridge NanoTech Inc., 24 April 06. < <

8 A first precursor gas is introduced into the process chamber and produces a monolayer of gas on the wafer surface. Then a second precursor of gas is introduced into the chamber reacting with the first precursor to produce a monolayer of film on the wafer surface. Example: ALD cycle for Al 2 O 3 deposition (Step 1c) 15 Ref: "Atomic Layer Deposition," Cambridge NanoTech Inc., 24 April 06. < < A first precursor gas is introduced into the process chamber and produces a monolayer of gas on the wafer surface. Then a second precursor of gas is introduced into the chamber reacting with the first precursor to produce a monolayer of film on the wafer surface. Example: ALD cycle for Al 2 O 3 deposition (Step 2a) 16 Ref: "Atomic Layer Deposition," Cambridge NanoTech Inc., 24 April 06. < <

9 A first precursor gas is introduced into the process chamber and produces a monolayer of gas on the wafer surface. Then a second precursor of gas is introduced into the chamber reacting with the first precursor to produce a monolayer of film on the wafer surface. Example: ALD cycle for Al 2 O 3 deposition (Step 2b) 17 Ref: "Atomic Layer Deposition," Cambridge NanoTech Inc., 24 April 06. < < A first precursor gas is introduced into the process chamber and produces a monolayer of gas on the wafer surface. Then a second precursor of gas is introduced into the chamber reacting with the first precursor to produce a monolayer of film on the wafer surface. Example: ALD cycle for Al 2 O 3 deposition (Step 2c) 18 Ref: "Atomic Layer Deposition," Cambridge NanoTech Inc., 24 April 06. < <

10 A first precursor gas is introduced into the process chamber and produces a monolayer of gas on the wafer surface. Then a second precursor of gas is introduced into the chamber reacting with the first precursor to produce a monolayer of film on the wafer surface. Example: ALD cycle for Al 2 O 3 deposition (after 3 cycles) 19 Ref: "Atomic Layer Deposition," Cambridge NanoTech Inc., 24 April 06. < < 20 A first precursor gas is introduced into the process chamber and produces a monolayer of gas on the wafer surface. Then a second precursor of gas is introduced into the chamber reacting with the first precursor to produce a monolayer of film on the wafer surface. Example: ALD cycle for Al 2 O 3 deposition Since each pair of gas pulses (one cycle) produces exactly one monolayer of film, the thickness of the resulting film may be precisely controlled by the number of deposition cycles. Ref: "Technology Backgrounder: Atomic Layer Deposition," IC Knowledge e LLC, 24 April 06. < Step coverage and deposition rate Vs. deposition technique.

11 21 Four main types of ALD reactors Closed system chambers Open system chambers Semi-closed system chambers Semi-open system chambers 22 Four main types of ALD reactors Closed system chambers (most common) Open system chambers Semi-closed system chambers Semi-open system chambers

12 23 Four main types of ALD reactors Closed system chambers (most common) The reaction chamber walls are designed to effect the transport of the precursors. Schematic of a closed ALD system Open system chambers Semi-closed system chambers Semi-open system chambers Ref: "Technology Backgrounder: Atomic Layer Deposition," IC Knowledge e LLC, 24 April 06. < [1] [1] The Verano 5500 A 300-mm ALD system by Aviza Technology, Inc [2]. Process Temperature [1] 1 "Technology Backgrounder: Atomic Layer Deposition," IC Knowledge e LLC, 24 April 06. < 2 Atomic Layer Deposition," Aviza Technology. 26 April 06. < >.

13 25 One cycle [1] [1] The Verano 5500 A 300-mm ALD system by Aviza Technology, Inc [2]. Acceptable temperature range for deposition. Process Temperature [1] 1 "Technology Backgrounder: Atomic Layer Deposition," IC Knowledge e LLC, 24 April 06. < >. 2 Atomic Layer Deposition," Aviza Technology. 26 April 06. < >. ALD Precursor Requirements Must be volatile and thermally stable Preferably liquids and gases Should Chemisorb onto the surface or rapidly react with surface and react aggressively with each other 就 是 互 斥 不 反 應 -Short saturation time, good deposition rate, no gas phase reactions Should not self-decompose - Affect thickness, uniformity Should not etch, dissolute into film or substrate 26

14 ALD Applications High-K K dielectrics for CMOS Semiconductor memory (DRAM) Cu interconnect barrier Deposition in porous structures 27 ALD Applications High-K K dielectrics for CMOS Semiconductor memory (DRAM) Cu interconnect barrier Deposition in porous structures 28

15 ALD Applications High-K K dielectrics for CMOS 29 Reduces leakage current Faster switching speed Cooler transistors Candidates for High-K K dielectrics Film Precursors Al 2 O 3 Al(CH) 3, H 2 O or O 3 HfO 2 HfCl 4 or TEMAH, H 2 O ZrO 2 ZrCl 4, H 2 O Ref: "Intel's High-k/Metal Gate Announcement," Intel Corporation. 26 April, 06. < ALD Applications 30 High-K K dielectrics for CMOS Semiconductor memory (DRAM) Cu interconnect barrier Deposition in porous structures Step coverage and deposition rate Vs. deposition technique. All these applications take advantage of uniformity, conformal step coverage, precise thickness control of deposited films, which can be achieved by ALD deposition method. Ref: "Technology Backgrounder: Atomic Layer Deposition," IC Knowledge e LLC, 24 April 06. <

16 Atomic Layer Deposition (ALD) Copper Barrier Layer: Historically, TaN has been deposited via PVD Minimum thickness Å poor stoichiometry compromises effectiveness of barrier poor step coverage requires thicker film, which increases resistance of the metal line ALD TaN: as thin as 10-15Å still provides acceptable barrier effectiveness good stoichiometry Monocrystalline deposition epitaxial alignment with underlying layer 31 Comparison of ALD and CVD 32 ALD Highly reactive precursors Precursors react separately on the substrate Precursors must not decompose at process temperature Uniformity ensured by the saturation mechanism Thickness control by counting the number of reaction cycles Surplus precursor dosing acceptable CVD Less reactive precursors Precursors react at the same time on the substrate Precursors can decompose at process temperature Uniformity requires uniform flux of reactant and temperature Thickness control by precise process control and monitoring Precursor dosing important

17 Summary 33 Advantages Stoichiometric films with large area uniformity and 3D conformality. Precise thickness control. Low temperature deposition possible. Gentle deposition process for sensitive substrates. Disadvantages Deposition Rate slower than CVD. Number of different material that can be deposited is fair compared to MBE.

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy 35 years of ALD Conventional methods for compound film deposition Heat treatment Final crystallization Nucleation Vacuum evaporation Sputtering CVD Buildup of thin film in source controlled deposition

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

T. Suntola: 30 years of ALD ALD 2004, Aug. 16 18, 2004, University of Helsinki, Finland. 30 years of ALD Tuomo Suntola

T. Suntola: 30 years of ALD ALD 2004, Aug. 16 18, 2004, University of Helsinki, Finland. 30 years of ALD Tuomo Suntola 30 years of ALD Tuomo Suntola Key tool for finding the ALE in 1974 Key tool for finding the ALE in 1974 Tool for the demonstration of ALE in 1974 ALE growth of ZnS in Aug/Sept 1974 ALE growth of ZnS in

More information

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z The ALD Powerhouse Picosun Defining the future of ALD Picosun s history and background date back to the very beginning of the field of atomic layer deposition. ALD was invented in Finland in 1974 by Dr.

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics

Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics Mark Imus Douglas Sisk, Ph.D., Mentor Marian High School RET Program University of Notre Dame Project Proposal Tunneling

More information

ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014

ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014 ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014 Pasi Meriläinen Table of Contents What is ALD? The early years 1st wave In the middle 2nd wave

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

ALD Atomic Layer Deposition

ALD Atomic Layer Deposition Research - Services ALD Atomic Layer Deposition Atomic Layer Deposition is a deposition process for assembling of thin films on the nanometer scale. The self-limiting deposition of atomic monolayers occurs

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Chapter 11 PVD and Metallization

Chapter 11 PVD and Metallization Chapter 11 PVD and Metallization 2006/5/23 1 Metallization Processes that deposit metal thin film on wafer surface. 2006/5/23 2 1 Metallization Definition Applications PVD vs. CVD Methods Vacuum Metals

More information

AC coupled pitch adapters for silicon strip detectors

AC coupled pitch adapters for silicon strip detectors AC coupled pitch adapters for silicon strip detectors J. Härkönen1), E. Tuovinen1), P. Luukka1), T. Mäenpää1), E. Tuovinen1), E. Tuominen1), Y. Gotra2), L. Spiegel2) Helsinki Institute of Physics, Finland

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach)

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach) CONTENTS Preface. Energy Band Theory.. Electron in a crystal... Two examples of electron behavior... Free electron...2. The particle-in-a-box approach..2. Energy bands of a crystal (intuitive approach)..3.

More information

A Kinetic Model for Step Coverage by Atomic Layer Deposition in Narrow Holes or Trenches**

A Kinetic Model for Step Coverage by Atomic Layer Deposition in Narrow Holes or Trenches** A Kinetic Model for Step Coverage by Atomic Layer Deposition in Narrow Holes or Trenches** By Roy G. Gordon,* Dennis Hausmann, Esther Kim, and Joseph Shepard Highly conformal coatings can be deposited

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

histaris Inline Sputtering Systems

histaris Inline Sputtering Systems vistaris histaris Inline Sputtering Systems Inline Sputtering Systems with Vertical Substrate Transport Modular System for Different Applications VISTARIS Sputtering Systems The system with the brand name

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

How MOCVD. Works Deposition Technology for Beginners

How MOCVD. Works Deposition Technology for Beginners How MOCVD Works Deposition Technology for Beginners Contents MOCVD for Beginners...3 MOCVD A Definition...4 Planetary Reactor Technology...5 Close Coupled Showerhead Technology...6 AIXTRON MOCVD Production

More information

Our Embedded Dream of the Invisible Future

Our Embedded Dream of the Invisible Future Our Embedded Dream of the Invisible Future Since the invention of semiconductor chips, the evolution of mankind s culture, society and lifestyle has accelerated at a pace never before experienced. Information

More information

Development of High-Speed High-Precision Cooling Plate

Development of High-Speed High-Precision Cooling Plate Hironori Akiba Satoshi Fukuhara Ken-ichi Bandou Hidetoshi Fukuda As the thinning of semiconductor device progresses more remarkably than before, uniformity within silicon wafer comes to be strongly required

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

Thin Is In, But Not Too Thin!

Thin Is In, But Not Too Thin! Thin Is In, But Not Too Thin! K.V. Ravi Crystal Solar, Inc. Abstract The trade-off between thick (~170 microns) silicon-based PV and thin (a few microns) film non-silicon and amorphous silicon PV is addressed

More information

Package Trends for Mobile Device

Package Trends for Mobile Device Package Trends for Mobile Device On-package EMI Shield At CTEA Symposium Feb-10, 2015 Tatsuya Kawamura Marketing, Director TEL NEXX, Inc. Love Thinner Mobile? http://www.apple.com/ iphone is registered

More information

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING Gim S. Chen, Ismail Kashkoush, and Rich E. Novak AKrion LLC 633 Hedgewood Drive, #15 Allentown, PA 1816, USA ABSTRACT Ozone-based HF chemistry

More information

CS257 Introduction to Nanocomputing

CS257 Introduction to Nanocomputing CS257 Introduction to Nanocomputing Overview of Crossbar-Based Computing John E Savage Overview Intro to NW growth methods Chemical vapor deposition and fluidic assembly Nano imprinting Nano stamping Four

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

Surface Area and Porosity

Surface Area and Porosity Surface Area and Porosity 1 Background Techniques Surface area Outline Total - physical adsorption External Porosity meso micro 2 Length 1 Å 1 nm 1 µm 1 1 1 1 1 mm macro meso micro metal crystallite 1-1

More information

Class 18: Memories-DRAMs

Class 18: Memories-DRAMs Topics: 1. Introduction 2. Advantages and Disadvantages of DRAMs 3. Evolution of DRAMs 4. Evolution of DRAMs 5. Basics of DRAMs 6. Basics of DRAMs 7. Write Operation 8. SA-Normal Operation 9. SA-Read Operation

More information

CRYSTAL DEFECTS: Point defects

CRYSTAL DEFECTS: Point defects CRYSTAL DEFECTS: Point defects Figure 10.15. Point defects. (a) Substitutional impurity. (b) Interstitial impurity. (c) Lattice vacancy. (d) Frenkeltype defect. 9 10/11/004 Ettore Vittone- Fisica dei Semiconduttori

More information

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

Electroplating with Photoresist Masks

Electroplating with Photoresist Masks Electroplating with Photoresist Masks Revised: 2014-01-17 Source: www.microchemicals.com/downloads/application_notes.html Electroplating - Basic Requirements on the Photoresist Electroplating with photoresist

More information

Dew-Point Measurement Solutions

Dew-Point Measurement Solutions Dew-Point Measurement Solutions www.michell.com Dew-Point Measurement Solutions Special Features No downtime Michell Instruments offers a unique sensor exchange programme, which means that you will never

More information

Atomic Layer Deposition on Biological Matter

Atomic Layer Deposition on Biological Matter Atomic Layer Deposition on Biological Matter Dissertation Zur Erlangung des akademischen Grades Doktor der Ingenieurwissenschaften der Fakultät für Angewandte Wissenschaften der Albert-Ludwigs-Universität

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

Chemical Synthesis. Overview. Chemical Synthesis of Nanocrystals. Self-Assembly of Nanocrystals. Example: Cu 146 Se 73 (PPh 3 ) 30

Chemical Synthesis. Overview. Chemical Synthesis of Nanocrystals. Self-Assembly of Nanocrystals. Example: Cu 146 Se 73 (PPh 3 ) 30 Chemical Synthesis Spontaneous organization of molecules into stable, structurally well-defined aggregates at the nanometer length scale. Overview The 1-100 nm nanoscale length is in between traditional

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Introduction to ALD Lab Dresden and Atomic Layer Deposition

Introduction to ALD Lab Dresden and Atomic Layer Deposition Introduction to ALD Lab Dresden and Atomic Layer Deposition PROGRAM Introduction to ALD Lab Dresden and Atomic Layer Deposition PROGRAM 1. Introduction to Atomic Layer Deposition a. ALD Historical background

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators Dr Peter Hockley and Professor Mike Thwaites, Plasma Quest Limited

More information

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing CINVESTAV-UNIDAD QUERETARO P.G. Mani-González and A. Herrera-Gomez gmani@qro.cinvestav.mx CINVESTAV 1 background

More information

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons D.Monaghan, V. Bellido-Gonzalez, M. Audronis. B. Daniel Gencoa, Physics Rd, Liverpool, L24 9HP, UK. www.gencoa.com,

More information

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Thomas Waechtler a, Bernd Gruska b, Sven Zimmermann a, Stefan E. Schulz a, Thomas Gessner a a Chemnitz University

More information

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M Miniaturizing Flexible Circuits for use in Medical Electronics Nate Kreutter 3M Drivers for Medical Miniaturization Market Drivers for Increased use of Medical Electronics Aging Population Early Detection

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Investor Presentation Q3 2015

Investor Presentation Q3 2015 Investor Presentation Q3 2015 Veeco Instruments 1 Investor Presentation Veeco at a Glance > Leading deposition and etch solutions provider; Veeco enables high-tech electronic device manufacturing > Founded

More information

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices Rapid Prototyping and Development of Microfluidic and BioMEMS Devices J. Sasserath and D. Fries Intelligent Micro Patterning System Solutions, LLC St. Petersburg, Florida (T) 727-522-0334 (F) 727-522-3896

More information

Figure 10.1. Process flow from starting material to polished wafer.

Figure 10.1. Process flow from starting material to polished wafer. Figure 10.1. Process flow from starting material to polished wafer. 1/11/003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XI 1 Starting material: silicon dioxide (SiO ): pure form of sand (quartzite)

More information

Introduction to Thin Film Technology LOT. Chair of Surface and Materials Technology

Introduction to Thin Film Technology LOT. Chair of Surface and Materials Technology Introduction to Thin Film Introduction to Thin Film Verfahrenstechnik der Oberflächenmodifikationen Prof. Dr. Xin Jiang Lecture Institut für Werkstofftechnik der Uni-Siegen Sommersemester 2007 Introduction

More information

Good Boards = Results

Good Boards = Results Section 2: Printed Circuit Board Fabrication & Solderability Good Boards = Results Board fabrication is one aspect of the electronics production industry that SMT assembly engineers often know little about.

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Deposition Overview for Microsytems

Deposition Overview for Microsytems Deposition Overview for Microsytems Deposition PK Activity Terminology Participant Guide www.scme-nm.org Deposition Overview for Microsystems Primary Knowledge Participant Guide Description and Estimated

More information

Fundamentals of Mass Flow Control

Fundamentals of Mass Flow Control Fundamentals of Mass Flow Control Critical Terminology and Operation Principles for Gas and Liquid MFCs A mass flow controller (MFC) is a closed-loop device that sets, measures, and controls the flow of

More information

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE Mohammad S. Sharawi Electrical Engineering Department, King Fahd University of Petroleum and Minerals Dhahran, 31261 Saudi Arabia Keywords: Printed Circuit

More information

Customer Service Note Lead Frame Package User Guidelines

Customer Service Note Lead Frame Package User Guidelines Customer Service Note Lead Frame Package User Guidelines CSN30: Lead Frame Package User Guidelines Introduction Introduction When size constraints allow, the larger-pitched lead-frame-based package design

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

A CRITICAL NATIONAL NEED IDEA

A CRITICAL NATIONAL NEED IDEA A CRITICAL NATIONAL NEED IDEA Advanced Manufacturing Technologies A Solution for Manufacturing High Volume Precision Micro Scale Components Submitted by: Rockford Engineering Associates LLC 605 Fulton

More information

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4 Wafer Manufacturing Reading Assignments: Plummer, Chap 3.1~3.4 1 Periodic Table Roman letters give valence of the Elements 2 Why Silicon? First transistor, Shockley, Bardeen, Brattain1947 Made by Germanium

More information

Nanotechnologies for the Integrated Circuits

Nanotechnologies for the Integrated Circuits Nanotechnologies for the Integrated Circuits September 23, 2015 Dr. Bertrand Cambou Professor of Practice NAU, Cybersecurity School of Informatics, Computing, and Cyber-Systems Agenda The Market Silicon

More information

Use of Carbon Nanoparticles for the Flexible Circuits Industry

Use of Carbon Nanoparticles for the Flexible Circuits Industry Use of Carbon Nanoparticles for the Flexible Circuits Industry Ying (Judy) Ding, Rich Retallick MacDermid, Inc. Waterbury, Connecticut Abstract FPC (Flexible Printed Circuit) has been growing tremendously

More information

CASE STUDY: SCREEN PRINTING SOLUTIONS FOR SMALL DIE & PRECISION ALIGNMENT CHALLENGES

CASE STUDY: SCREEN PRINTING SOLUTIONS FOR SMALL DIE & PRECISION ALIGNMENT CHALLENGES CASE STUDY: SCREEN PRINTING SOLUTIONS FOR SMALL DIE & PRECISION ALIGNMENT CHALLENGES By William E. Coleman Ph.D., Photo Stencil and Travis Tanner, Plexus Manufacturing Solutions When you have a gold Kovar

More information

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com Introduction to Semiconductor Manufacturing Technology Chapter 1, Introduction Hong Xiao, Ph. D. hxiao89@hotmail.com Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objective After taking this

More information

Electron Beam and Sputter Deposition Choosing Process Parameters

Electron Beam and Sputter Deposition Choosing Process Parameters Electron Beam and Sputter Deposition Choosing Process Parameters General Introduction The choice of process parameters for any process is determined not only by the physics and/or chemistry of the process,

More information

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Vivek Subramanian Department of Electrical Engineering and Computer Sciences University of California, Berkeley RD83089901

More information

Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration

Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration Andrew C. Rudack 3D Interconnect Metrology and Standards SEMATECH Albany, NY andy.rudack@sematech.org

More information

Single Sided Wet Etching for Texturing, Thinning, and Packaging Applications

Single Sided Wet Etching for Texturing, Thinning, and Packaging Applications Single Sided Wet Etching for Texturing, Thinning, and Packaging Applications Ricardo I. Fuentes, Ph.D. Materials and Technologies Corp., (MATECH) Wappingers Falls, NY 12590 To Be Presented at IWLPC Conference,

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

How To Make A Plasma Control System

How To Make A Plasma Control System XXII. Erfahrungsaustausch Mühlleiten 2015 Plasmaanalyse und Prozessoptimierung mittels spektroskopischem Plasmamonitoring in industriellen Anwendungen Swen Marke,, Lichtenau Thomas Schütte, Plasus GmbH,

More information

Vapor Chambers. Figure 1: Example of vapor chamber. Benefits of Using Vapor Chambers

Vapor Chambers. Figure 1: Example of vapor chamber. Benefits of Using Vapor Chambers Vapor Chambers A vapor chamber is a high-end thermal management device that can evenly dissipate heat from a small source to a large platform of area (see Figure 1). It has a similar construction and mechanism

More information

Tecnologie convenzionali nell approccio top-down; I: metodi e problematiche per la deposizione di film sottili

Tecnologie convenzionali nell approccio top-down; I: metodi e problematiche per la deposizione di film sottili LS Scienza dei Materiali - a.a. 2005/06 Fisica delle Nanotecnologie part 8 Version 4, Dec 2005 Francesco Fuso, tel 0502214305, 0502214293 - fuso@df.unipi.it http://www.df.unipi.it/~fuso/dida Tecnologie

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob Chemical Sputtering von Kohlenstoff durch Wasserstoff W. Jacob Centre for Interdisciplinary Plasma Science Max-Planck-Institut für Plasmaphysik, 85748 Garching Content: Definitions: Chemical erosion, physical

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

This paper describes Digital Equipment Corporation Semiconductor Division s

This paper describes Digital Equipment Corporation Semiconductor Division s WHITEPAPER By Edd Hanson and Heather Benson-Woodward of Digital Semiconductor Michael Bonner of Advanced Energy Industries, Inc. This paper describes Digital Equipment Corporation Semiconductor Division

More information

USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS *

USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS * USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS * JOHN LOO Samsung Austin Semiconductor 12100 Samsung Blvd. Austin, Texas 78754 * Presented at the AVS 5 th International

More information

ZINCROLYTE VS DUPLEX 700

ZINCROLYTE VS DUPLEX 700 TEST REPORT Date: 03-05-2012 Reference: Duroc NV Corrosion Protection Moerelei 149 2610 Antwerp Belgium Written by: Ing. Martijn Wirken - mw@duroc.be ZINCROLYTE VS DUPLEX 700 This document is not legally

More information

CH3 Stoichiometry. The violent chemical reaction of bromine and phosphorus. P.76

CH3 Stoichiometry. The violent chemical reaction of bromine and phosphorus. P.76 CH3 Stoichiometry The violent chemical reaction of bromine and phosphorus. P.76 Contents 3.1 Counting by Weighing 3.2 Atomic Masses 3.3 The Mole 3.4 Molar Mass 3.5 Percent Composition of Compounds 3.6

More information

Chapter 10 CVD and Dielectric Thin Film

Chapter 10 CVD and Dielectric Thin Film Chapter 10 CVD and Dielectric Thin Film 2006/5/23 1 Objectives Identify at least four CVD applications Describe CVD process sequence List the two deposition regimes and describe their relation to temperature

More information

Graphene a material for the future

Graphene a material for the future Graphene a material for the future by Olav Thorsen What is graphene? What is graphene? Simply put, it is a thin layer of pure carbon What is graphene? Simply put, it is a thin layer of pure carbon It has

More information

New materials on horizon for advanced logic technology in mobile era

New materials on horizon for advanced logic technology in mobile era New materials on horizon for advanced logic technology in mobile era source gate Kelin J. Kuhn, TED 2012 drain Franz Kreupl, IFX 2003 Hsinchu March 6, 2013 - Prof. Dr. Franz Kreupl 1 Outline Introduction

More information

Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser

Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser V.Nassisi #, G.Caretto #, A. Lorusso #, D.Manno %, L.Famà %, G.Buccolieri %, A.Buccolieri %, U.Mastromatteo* # Laboratory of Applied

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

I R MASTER S THESIS. Operational Models for Evaluating the Impact of Process Changes on Cluster Tool Performance

I R MASTER S THESIS. Operational Models for Evaluating the Impact of Process Changes on Cluster Tool Performance MASTER S THESIS Operational Models for Evaluating the Impact of Process Changes on Cluster Tool Performance by Niranjan Chandrasekaran Advisor: Jeffery Herrmann M.S. 99-4 I R INSTITUTE FOR SYSTEMS RESEARCH

More information