SanDisk G 32Gb NAND Flash Multichip Package TMCL4 NAND Flash Controller Die

Size: px
Start display at page:

Download "SanDisk G 32Gb NAND Flash Multichip Package TMCL4 NAND Flash Controller Die"

Transcription

1 G 32Gb NAND Flash Multichip Package Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology, please call Sales at Chipworks Richmond Road, Suite 500, Ottawa, ON K2H 5B7, Canada Tel: Fax:

2 Structural Analysis Table of Contents 1 Overview 1.1 List of Figures 1.2 List of Tables 1.3 Company Profile 1.4 Introduction 1.5 Device Summary 1.6 Process Summary 2 Device Overview 2.1 Package and Die 2.2 Die Features 3 Process Analysis 3.1 General Device Structure 3.2 Bond Pads 3.3 Dielectrics 3.4 Metallization 3.5 Vias and Contacts 3.6 Transistors and Poly 3.7 Isolation 3.8 Wells and Substrate 4 6T SRAM Cell Analysis 4.1 Overview 4.2 Plan-View Analysis 4.3 Cross-Sectional Analysis (Perpendicular to Wordline) 4.4 Cross-Sectional Analysis (Perpendicular to Bitline) 5 Materials Analysis 5.1 Overview 5.2 TEM-EDS and TEM-EELS Analyses of the Dielectrics 5.3 TEM-EDS of Metallization 5.4 TEM-EDS and TEM-EELS Analyses of Transistors, Contacts, and Poly 6 Critical Dimensions 6.1 Horizontal Dimensions 6.2 Vertical Dimensions 7 Statement of Measurement Uncertainty and Scope Variation 8 References About Chipworks

3 Overview Overview 1.1 List of Figures 2 Device Overview Package Photograph Top Package Photograph Bottom Package X-Ray Side View Package X-Ray Plan View Die Photograph NAND Flash Die GRX Die Markings NAND Flash Die GRX Die Photograph NAND Flash Controller Die TMCL Die Markings NAND Flash Controller Die TMCL Annotated Die Photograph Analysis Sites Die Corner A Die Corner B Die Corner C Die Corner D Minimum Pitch Bond Pads 3 Process Analysis General View of TMCL Die Edge Die Seal Bond Pad Right Bond Pad Edge Passivation and ILD TEM Passivation ILD ILD TEM ILD ILD 4 through ILD TEM ILD TEM ILD TEM ILD TEM ILD ILD 1 and PMD TEM PMD Minimum Pitch Metal TEM Metal TEM Metal 7 Hard Mask Layer Minimum Pitch Metal TEM Metal 6 and Via Minimum Pitch Metal 5 and Metal Minimum Pitch Metal 4 and Metal TEM Metal TEM Metal 4

4 Overview TEM Metal TEM Metal Minimum Pitch Metal TEM Metal Via 5s Minimum Pitch Via 4s Vias 3 and Via 2 Stack Minimum Pitch Vias 3s Minimum Pitch Vias 2s Minimum Pitch Via 1s TEM Via Minimum Pitch Contacts to Diffusion Contact to Poly TEM Contact to Diffusion TEM Contact Top TEM Contact to Diffusion NMOS Transistors PMOS Transistors TEM Transistor Gate TEM Gate Oxide Minimum Pitch Gate Minimum Width STI STI under Poly Gate Gate Wrap over STI SCM Profile of Well Structure SRP Peripheral P-Well 4 6T SRAM Cell Analysis T SRAM Metal 3 Wordlines and Power Buses Metal 2 Bitlines Metal 2 and Via 2s Metal 1 Local Interconnects SRAM at Poly SRAM at Diffusion SRAM Perpendicular to Wordline TEM NMOS Pull-Down and Access Transistors TEM NMOS Pull-Down Transistor TEM NMOS Access Transistor PMOS Pull-Up Transistors TEM Pull-Up Transistor TEM Butted Contact SRAM Perpendicular to Bitline SRAM Perpendicular to Bitline

5 Overview Materials Analysis TEM-EDS Passivation TEM-EDS Metal 7 Hard Mask Layer TEM-EDS ILD TEM-EDS ILD TEM-EDS ILD TEM-EDS ILD TEM-EDS ILD TEM-EDS ILD TEM-EDS PMD 4 and PMD TEM-EDS PMD 2 and PMD TEM-EELS PMD TEM-EDS STI Oxide TEM-EDS Metal 7 Barrier Metal TEM-EDS Metal 2 Liner TEM-EDS Gate Silicide TEM-EDS Source/Drain Silicide TEM-EELS Oxide Buffer TEM-EELS Nitride Sidewall Spacer

6 Overview List of Tables 1 Overview Device Identification Device Summary Process Summary 2 Device Overview Package, Die, and Bond Pad Sizes 3 Process Analysis Dielectric Thicknesses Metallization Vertical Dimensions Metallization Horizontal Dimensions Via and Contact Dimensions Transistor Horizontal Dimensions Transistor and Polycide Vertical Dimensions Die Thickness and Well Depths 4 6T SRAM Cell Analysis SRAM Dimensions 6 Critical Dimensions Package, Die, and Bond Pads Minimum Pitch Metals Minimum Pitch Contacts and Vias Transistor Horizontal Dimensions SRAM Dimensions Vertical Dimension Dielectrics Vertical Dimensions Metals Transistor Vertical Dimensions Die and Wells Vertical Dimensions

7 About Chipworks Chipworks is the recognized leader in reverse engineering and patent infringement analysis of semiconductors and electronic systems. The company s ability to analyze the circuitry and physical composition of these systems makes them a key partner in the success of the world s largest semiconductor and microelectronics companies. Intellectual property groups and their legal counsel trust Chipworks for success in patent licensing and litigation earning hundreds of millions of dollars in patent licenses, and saving as much in royalty payments. Research & Development and Product Management rely on Chipworks for success in new product design and launch, saving hundreds of millions of dollars in design, and earning even more through superior product design and faster launches. Contact Chipworks To find out more information on this report, or any other reports in our library, please contact Chipworks at: Chipworks 3685 Richmond Rd. Suite 500 Ottawa, Ontario K2H 5B7 Canada T: F: Web site: info@chipworks.com Please send any feedback to feedback@chipworks.com

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis August 17, 2006 Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Micron MT9D111 2 Megapixel CMOS Image Sensor Functional Analysis

Micron MT9D111 2 Megapixel CMOS Image Sensor Functional Analysis March 17, 2006 Micron MT9D111 2 Megapixel CMOS Image Sensor Functional Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Qualcomm QCA6174 802.11ac Wi-Fi 2x2 MIMO Combo SoC

Qualcomm QCA6174 802.11ac Wi-Fi 2x2 MIMO Combo SoC Qualcomm QCA6174 Basic Functional Analysis 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Basic Functional Analysis 2 Some of the information in this report

More information

Apple/AuthenTec TMDR92 iphone 5s, 6, and 6 Plus Fingerprint Sensor

Apple/AuthenTec TMDR92 iphone 5s, 6, and 6 Plus Fingerprint Sensor Apple/AuthenTec TMDR92 iphone 5s, 6, and 6 Plus Fingerprint Sensor 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613.829.0414 www.chipworks.com Some of the information in this report may

More information

InvenSense MPU-6515 6-Axis Accelerometer Gyroscope MEMS Motion Sensor

InvenSense MPU-6515 6-Axis Accelerometer Gyroscope MEMS Motion Sensor InvenSense MPU-6515 6-Axis Accelerometer Gyroscope MEMS Motion Sensor 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Some of the information in this report

More information

Atmel. MXT224 Touch Screen Controller. Circuit Analysis of Charge Integrator, ADC, and I/O Blocks

Atmel. MXT224 Touch Screen Controller. Circuit Analysis of Charge Integrator, ADC, and I/O Blocks Atmel MXT224 Touch Screen Controller Circuit Analysis of Charge Integrator, ADC, and I/O Blocks For questions, comments, or more information about this report, or for any additional technical needs concerning

More information

NXP PN548 (65V10) Near Field Communication Module

NXP PN548 (65V10) Near Field Communication Module NXP PN548 (65V10) Module Basic Functional Analysis 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 chipworks.com Basic Functional Analysis 2 Some of the information in this

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

AMD/ATI 215-0754009-00 RV840 Juniper GPU (from Radeon TM HD 5750 Graphics Card)

AMD/ATI 215-0754009-00 RV840 Juniper GPU (from Radeon TM HD 5750 Graphics Card) AMD/ATI 215-0754009-00 RV840 Juniper GPU (from Radeon TM HD 5750 Graphics Card) Circuit Analysis of GDDR5 I/O Drivers, Receivers, DLL, and PLL Table of Contents 3685 Richmond Road, Suite 500, Ottawa, ON

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Sample Project List. Software Reverse Engineering

Sample Project List. Software Reverse Engineering Sample Project List Software Reverse Engineering Automotive Computing Electronic power steering Embedded flash memory Inkjet printer software Laptop computers Laptop computers PC application software Software

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

The MOSFET Transistor

The MOSFET Transistor The MOSFET Transistor The basic active component on all silicon chips is the MOSFET Metal Oxide Semiconductor Field Effect Transistor Schematic symbol G Gate S Source D Drain The voltage on the gate controls

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com Introduction to Semiconductor Manufacturing Technology Chapter 1, Introduction Hong Xiao, Ph. D. hxiao89@hotmail.com Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objective After taking this

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

The State-of-the-Art in IC Reverse Engineering

The State-of-the-Art in IC Reverse Engineering The State-of-the-Art in IC Reverse Engineering Randy Torrance and Dick James Chipworks Inc. 3685 Richmond Road, Ottawa, Ontario, Canada K2H 5B7 rtorrance@chipworks.com, djames@chipworks.com Abstract. This

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i Layout and Cross-section of an inverter Lecture 5 A Layout Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London V DD Q p A V i V o URL: www.ee.ic.ac.uk/pcheung/

More information

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost Comparison study of FETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost David Fried, IBM Thomas Hoffmann, IMEC Bich-Yen Nguyen, SOITEC Sri Samavedam, Freescale Horacio Mendez, SOI Industry

More information

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm STMicroelectronics Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI SOI Processes 130nm, 65nm SiGe 130nm CMP Process Portfolio from ST Moore s Law 130nm CMOS : HCMOS9GP More than Moore

More information

Flash Memory Jan Genoe KHLim Universitaire Campus, Gebouw B 3590 Diepenbeek Belgium

Flash Memory Jan Genoe KHLim Universitaire Campus, Gebouw B 3590 Diepenbeek Belgium Flash Memory Jan Genoe KHLim Universitaire Campus, Gebouw B 3590 Diepenbeek Belgium http://www.khlim.be/~jgenoe [1] http://en.wikipedia.org/wiki/flash_memory Geheugen 1 Product evolution Jan Genoe: Geheugen

More information

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

Lab 3 Layout Using Virtuoso Layout XL (VXL)

Lab 3 Layout Using Virtuoso Layout XL (VXL) Lab 3 Layout Using Virtuoso Layout XL (VXL) This Lab will go over: 1. Creating layout with Virtuoso layout XL (VXL). 2. Transistor Chaining. 3. Creating Standard cell. 4. Manual Routing 5. Providing Substrate

More information

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas.

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas. Order this document by /D Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas Introduction Today s microcontroller applications are more sophisticated

More information

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets.

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets. INF4420 Layout and CMOS processing technology Spring 2012 1 / 76 Outline CMOS Fabrication overview Design rules Layout of passive and active componets Packaging 2 / 76 Introduction As circuit designers

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST Flash Memories João Pela (52270), João Santos (55295) IST December 22, 2008 João Pela (52270), João Santos (55295) (IST) Flash Memories December 22, 2008 1 / 41 Layout 1 Introduction 2 How they work 3

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Semiconductor Memories

Semiconductor Memories Semiconductor Memories Semiconductor memories array capable of storing large quantities of digital information are essential to all digital systems Maximum realizable data storage capacity of a single

More information

Titre: Required Information For Submitting Databases to TELEDYNE DALSA Design & Product Support.

Titre: Required Information For Submitting Databases to TELEDYNE DALSA Design & Product Support. Titre: Required Information For Submitting Databases to TELEDYNE DALSA Design & Product Support. Document : DES-0002.11 Création du document : December 22th, 2004 Bromont, Québec, Canada 2 DE 7 Database

More information

Samsung 2bit 3D V-NAND technology

Samsung 2bit 3D V-NAND technology Samsung 2bit 3D V-NAND technology Gain more capacity, speed, endurance and power efficiency Traditional NAND technology cannot keep pace with growing data demands Introduction Data traffic continues to

More information

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation 1 Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation Vivek Joshi, Kanak Agarwal*, Dennis Sylvester, David Blaauw Electrical Engineering & Computer Science University of Michigan,

More information

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Outline Here we introduced () basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Circuit Logic Gate A logic gate is an elemantary building block

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

Samsung 3bit 3D V-NAND technology

Samsung 3bit 3D V-NAND technology White Paper Samsung 3bit 3D V-NAND technology Yield more capacity, performance and power efficiency Stay abreast of increasing data demands with Samsung's innovative vertical architecture Introduction

More information

MEMS Processes from CMP

MEMS Processes from CMP MEMS Processes from CMP MUMPS from MEMSCAP Bulk Micromachining 1 / 19 MEMSCAP MUMPS processes PolyMUMPS SOIMUMPS MetalMUMPS 2 / 19 MEMSCAP Standard Processes PolyMUMPs 8 lithography levels, 7 physical

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

FLASH TECHNOLOGY DRAM/EPROM. Flash. 1980 1982 1984 1986 1988 1990 1992 1994 1996 Year Source: Intel/ICE, "Memory 1996"

FLASH TECHNOLOGY DRAM/EPROM. Flash. 1980 1982 1984 1986 1988 1990 1992 1994 1996 Year Source: Intel/ICE, Memory 1996 10 FLASH TECHNOLOGY Overview Flash memory technology is a mix of EPROM and EEPROM technologies. The term flash was chosen because a large chunk of memory could be erased at one time. The name, therefore,

More information

Sequential 4-bit Adder Design Report

Sequential 4-bit Adder Design Report UNIVERSITY OF WATERLOO Faculty of Engineering E&CE 438: Digital Integrated Circuits Sequential 4-bit Adder Design Report Prepared by: Ian Hung (ixxxxxx), 99XXXXXX Annette Lo (axxxxxx), 99XXXXXX Pamela

More information

Embedded STT-MRAM for Mobile Applications:

Embedded STT-MRAM for Mobile Applications: Embedded STT-MRAM for Mobile Applications: Enabling Advanced Chip Architectures Seung H. Kang Qualcomm Inc. Acknowledgments I appreciate valuable contributions and supports from Kangho Lee, Xiaochun Zhu,

More information

Preface xiii Introduction xv 1 Planning for surface mount design General electronic products 3 Dedicated service electronic products 3 High-reliability electronic products 4 Defining the environmental

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

Peel & Seal Self-Stick Roofing Solutions

Peel & Seal Self-Stick Roofing Solutions Peel & Seal Self-Stick Roofing Solutions Ideal for Low Slope Applications Waterproof and Weatherproof Energy Efficient Tough and Durable Versatile, Effective Sealing Around Protrusions Perfect for Vents,

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

How To Scale At 14 Nanomnemester

How To Scale At 14 Nanomnemester 14 nm Process Technology: Opening New Horizons Mark Bohr Intel Senior Fellow Logic Technology Development SPCS010 Agenda Introduction 2 nd Generation Tri-gate Transistor Logic Area Scaling Cost per Transistor

More information

1. Submission Rules. 2. Verification tools. 3. Frequent errors

1. Submission Rules. 2. Verification tools. 3. Frequent errors Design Submission 1. Submission Rules 2. Verification tools 3. Frequent errors Design submission rules 1. Send the submission form in the same time as the circuit database 2. The GDSII file must have a.gds

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

For the modifications listed below, the Qualification Approval tests in IEC 61215 and IEC 61646, shall be used as a guideline by the assessor:

For the modifications listed below, the Qualification Approval tests in IEC 61215 and IEC 61646, shall be used as a guideline by the assessor: Product or Process Modifications Requiring Limited CBTL Retesting to Maintain Certification This document sets forth a uniform approach to maintain the certification of products that have, or will, undergo

More information

Assembly of LPCC Packages AN-0001

Assembly of LPCC Packages AN-0001 Assembly of LPCC Packages AN-0001 Surface Mount Assembly and Handling of ANADIGICS LPCC Packages 1.0 Overview ANADIGICS power amplifiers are typically packaged in a Leadless Plastic Chip Carrier (LPCC)

More information

Self-Stick Roofing Solutions

Self-Stick Roofing Solutions Peel & Seal Self-Stick Roofing Solutions Ideal for Low Slope Applications Waterproof and Weatherproof Energy Efficient Tough and Durable Versatile, Effective Sealing Around Protrusions Perfect for Vents,

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

Chapter 10 CVD and Dielectric Thin Film

Chapter 10 CVD and Dielectric Thin Film Chapter 10 CVD and Dielectric Thin Film 2006/5/23 1 Objectives Identify at least four CVD applications Describe CVD process sequence List the two deposition regimes and describe their relation to temperature

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

INTERIOR WALLS AND BASEMENTS CHOOSING THE RIGHT MEMBRANE FOR INTERIOR WALLS. Multi-Layer Technology provides increased strength.

INTERIOR WALLS AND BASEMENTS CHOOSING THE RIGHT MEMBRANE FOR INTERIOR WALLS. Multi-Layer Technology provides increased strength. INTERIOR WALLS AND BASEMENTS CHOOSING THE RIGHT MEMBRANE FOR INTERIOR WALLS Multi-Layer Technology provides increased strength. Specifically designed for interior applications. Compatible with most internal

More information

AN3359 Application note

AN3359 Application note Application note Low cost PCB antenna for 2.4GHz radio: Meander design 1 Introduction This application note is dedicated to the STM32W108 product family from STMicroelectronics. One of the main reasons

More information

MOSIS Scalable CMOS (SCMOS)

MOSIS Scalable CMOS (SCMOS) Vendor-independent, scalable rules (MOSIS SCMOS s) Design s MOSIS Scalable CMOS (SCMOS) (Revision 8.00) Updated: May 11, 2009 1. Introduction This document defines the official MOSIS scalable CMOS (SCMOS)

More information

Long Term Data Retention of Flash Cells Used in Critical Applications

Long Term Data Retention of Flash Cells Used in Critical Applications Office of the Secretary of Defense National Aeronautics and Space Administration Long Term Data Retention of Flash Cells Used in Critical Applications Keith Bergevin (DMEA) Rich Katz (NASA) David Flowers

More information

Layout of Multiple Cells

Layout of Multiple Cells Layout of Multiple Cells Beyond the primitive tier primitives add instances of primitives add additional transistors if necessary add substrate/well contacts (plugs) add additional polygons where needed

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

From physics to products

From physics to products From physics to products From MRAM to MLU and beyond memory Magnetic Random Access Memory Magnetic Logic Unit Lucien Lombard Crocus-Technology Overview 1 - The semiconductor industry 2 - Crocus-Technology

More information

Application Note AN-1080. DirectFET Technology Inspection Application Note

Application Note AN-1080. DirectFET Technology Inspection Application Note Application Note AN-1080 DirectFET Technology Inspection Application Note Table of Contents Page Inspection techniques... 3 Examples of good assembly... 3 Summary of rejection criteria... 4 Types of faults...

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

A Dual-Mode NAND Flash Memory: 1-Gb Multilevel and High-Performance 512-Mb Single-Level Modes

A Dual-Mode NAND Flash Memory: 1-Gb Multilevel and High-Performance 512-Mb Single-Level Modes 1700 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 11, NOVEMBER 2001 A Dual-Mode NAND Flash Memory: 1-Gb Multilevel and High-Performance 512-Mb Single-Level Modes Taehee Cho, Yeong-Taek Lee, Eun-Cheol

More information

An Analysis Of Flash And HDD Technology Trends

An Analysis Of Flash And HDD Technology Trends An Analysis Of Flash And HDD Technology Trends Edward Grochowski EdwGrochowski@aol.com Computer Storage Consultant San Jose, CA 95120 Robert E. Fontana, Jr. rfontan@us.ibm.com Almaden Research Center IBM

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

Introduction to CMOS VLSI Design

Introduction to CMOS VLSI Design Introduction to CMOS VLSI esign Slides adapted from: N. Weste,. Harris, CMOS VLSI esign, Addison-Wesley, 3/e, 24 Introduction Integrated Circuits: many transistors on one chip Very Large Scale Integration

More information

CS250 VLSI Systems Design Lecture 8: Memory

CS250 VLSI Systems Design Lecture 8: Memory CS250 VLSI Systems esign Lecture 8: Memory John Wawrzynek, Krste Asanovic, with John Lazzaro and Yunsup Lee (TA) UC Berkeley Fall 2010 CMOS Bistable 1 0 Flip State 0 1 Cross-coupled inverters used to hold

More information

NAND Flash FAQ. Eureka Technology. apn5_87. NAND Flash FAQ

NAND Flash FAQ. Eureka Technology. apn5_87. NAND Flash FAQ What is NAND Flash? What is the major difference between NAND Flash and other Memory? Structural differences between NAND Flash and NOR Flash What does NAND Flash controller do? How to send command to

More information

EDC Lesson 12: Transistor and FET Characteristics. 2008 EDCLesson12- ", Raj Kamal, 1

EDC Lesson 12: Transistor and FET Characteristics. 2008 EDCLesson12- , Raj Kamal, 1 EDC Lesson 12: Transistor and FET Characteristics Lesson-12: MOSFET (enhancement and depletion mode) Characteristics and Symbols 2008 EDCLesson12- ", Raj Kamal, 1 1. Metal Oxide Semiconductor Field Effect

More information

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Wlodek Kurjanowicz DesignCon 2008 Sidense Corp 2008 Agenda Introduction: Why Embedded NVM? Embedded Memory Landscape Antifuse Memory evolution

More information

Charge-Trapping (CT) Flash and 3D NAND Flash Hang-Ting Lue

Charge-Trapping (CT) Flash and 3D NAND Flash Hang-Ting Lue Charge-Trapping (CT) Flash and 3D NAND Flash Hang-Ting Lue Macronix International Co., Ltd. Hsinchu,, Taiwan Email: htlue@mxic.com.tw 1 Outline Introduction 2D Charge-Trapping (CT) NAND 3D CT NAND Summary

More information

DESIGN GUIDELINES FOR LTCC

DESIGN GUIDELINES FOR LTCC DESIGN GUIDELINES FOR LTCC HERALOCK HL2000 MATERIALS SYSTEM Preliminary Guideline Release 1.0 CONTENTS 1. INTRODUCTION 1.1. GLOSSARY OF TERMS 1.2. LTCC PROCESS FLOW DIAGRAM 1.3. UNITS OF MEASURE 2. PROCESSING

More information

Trabajo 4.5 - Memorias flash

Trabajo 4.5 - Memorias flash Memorias flash II-PEI 09/10 Trabajo 4.5 - Memorias flash Wojciech Ochalek This document explains the concept of flash memory and describes it s the most popular use. Moreover describes also Microdrive

More information

ECE124 Digital Circuits and Systems Page 1

ECE124 Digital Circuits and Systems Page 1 ECE124 Digital Circuits and Systems Page 1 Chip level timing Have discussed some issues related to timing analysis. Talked briefly about longest combinational path for a combinational circuit. Talked briefly

More information

Digital Integrated Circuit (IC) Layout and Design - Week 3, Lecture 5

Digital Integrated Circuit (IC) Layout and Design - Week 3, Lecture 5 igital Integrated Circuit (IC) Layout and esign - Week 3, Lecture 5! http://www.ee.ucr.edu/~rlake/ee134.html EE134 1 Reading and Prelab " Week 1 - Read Chapter 1 of text. " Week - Read Chapter of text.

More information

Generative Drafting. Page 1 1997 2001 DASSAULT SYSTEMES. IBM Product Lifecycle Management Solutions / Dassault Systemes

Generative Drafting. Page 1 1997 2001 DASSAULT SYSTEMES. IBM Product Lifecycle Management Solutions / Dassault Systemes Generative Drafting Page 1 Tutorial Objectives Description This Tutorial is an introduction to Generative Drafting. Message To show how CATIA V5 allows the user to automatically generate associative drafting

More information

CHAPTER 16 MEMORY CIRCUITS

CHAPTER 16 MEMORY CIRCUITS CHPTER 6 MEMORY CIRCUITS Chapter Outline 6. atches and Flip-Flops 6. Semiconductor Memories: Types and rchitectures 6.3 Random-ccess Memory RM Cells 6.4 Sense-mplifier and ddress Decoders 6.5 Read-Only

More information

Chapter 5 :: Memory and Logic Arrays

Chapter 5 :: Memory and Logic Arrays Chapter 5 :: Memory and Logic Arrays Digital Design and Computer Architecture David Money Harris and Sarah L. Harris Copyright 2007 Elsevier 5- ROM Storage Copyright 2007 Elsevier 5- ROM Logic Data

More information

Memory Basics. SRAM/DRAM Basics

Memory Basics. SRAM/DRAM Basics Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

Nanotechnologies for the Integrated Circuits

Nanotechnologies for the Integrated Circuits Nanotechnologies for the Integrated Circuits September 23, 2015 Dr. Bertrand Cambou Professor of Practice NAU, Cybersecurity School of Informatics, Computing, and Cyber-Systems Agenda The Market Silicon

More information

Statistical Models for Hot Electron Degradation in Nano-Scaled MOSFET Devices

Statistical Models for Hot Electron Degradation in Nano-Scaled MOSFET Devices 2006, 대한 산업공학회 추계학술대회 Session C3 : Statistical models Statistical Models for Hot Electron Degradation in Nano-Scaled MOSFET Devices Seong-joon Kim, Suk Joo Bae Dept. of Industrial Engineering, Hanyang

More information

histaris Inline Sputtering Systems

histaris Inline Sputtering Systems vistaris histaris Inline Sputtering Systems Inline Sputtering Systems with Vertical Substrate Transport Modular System for Different Applications VISTARIS Sputtering Systems The system with the brand name

More information

Screen Printing For Crystalline Silicon Solar Cells

Screen Printing For Crystalline Silicon Solar Cells Printing For Crystalline Silicon Solar Cells Printing For Crystalline Silicon Solar Cells INTRODUCTION One of the most crucial steps for producing crystalline silicon solar cells is creating the grid of

More information

Chapter 11 PVD and Metallization

Chapter 11 PVD and Metallization Chapter 11 PVD and Metallization 2006/5/23 1 Metallization Processes that deposit metal thin film on wafer surface. 2006/5/23 2 1 Metallization Definition Applications PVD vs. CVD Methods Vacuum Metals

More information

AIXTRON Investor Presentation. Fiscal Year 2014 Results (February 24, 2015)

AIXTRON Investor Presentation. Fiscal Year 2014 Results (February 24, 2015) AIXTRON Investor Presentation Fiscal Year 2014 Results (February 24, 2015) DISCLAIMER Forward-Looking Statements 2 This document may contain forward-looking statements regarding the business, results of

More information

GaN IC Die Handling, Assembly and Testing Techniques

GaN IC Die Handling, Assembly and Testing Techniques GaN IC Die Handling, Assembly and Testing Techniques Page 1 of 9 1. Scope This document describes the storage and handling requirements for GaN IC chips. It also describes recommended assembly and testing

More information

How To Make Money From Semiconductor Production

How To Make Money From Semiconductor Production ASML 2011 Third Quarter Results Confirming expectation for record sales year Oct 12, 2011 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of 1995: the

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

MOS (metal-oxidesemiconductor) 李 2003/12/19

MOS (metal-oxidesemiconductor) 李 2003/12/19 MOS (metal-oxidesemiconductor) 李 2003/12/19 Outline Structure Ideal MOS The surface depletion region Ideal MOS curves The SiO 2 -Si MOS diode (real case) Structure A basic MOS consisting of three layers.

More information

CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS

CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS 5.1 INTRODUCTION The manufacturing plant considered for analysis, manufactures Printed Circuit Boards (PCB), also called Printed Wiring Boards (PWB), using

More information

Rear Impact Guard TEST METHOD 223. Standards and Regulations Division. Issued: December 2003

Rear Impact Guard TEST METHOD 223. Standards and Regulations Division. Issued: December 2003 Transport Canada Safety and Security Road Safety Transports Canada Sécurité et sûreté Sécurité routière Standards and Regulations Division TEST METHOD 223 Rear Impact Guard Issued: December 2003 Standards

More information