Training Course of Design Compiler

Size: px
Start display at page:

Download "Training Course of Design Compiler"

Transcription

1 Training Course of Design Compiler REF: CIC Training Manual Logic Synthesis with Design Compiler, July, 2006 TSMC 0.18um Process 1.8-Volt SAGE-X TM Stand Cell Library Databook, September, 2003 TPZ973G TSMC 0.18um Standard d I/O Library Databook, Version 240a, December 10, 2003 Artisan User Manual Speaker: T. W. Tseng Advanced Reliable Systems (ARES) Lab. 1

2 Outline Basic Concept of the Synthesis Synthesis Using Design Compiler Simulation-Based Power Estimation Using PrimePower Artisan Memory Compiler LAB Advanced Reliable Systems (ARES) Lab. 2

3 Basic Concept of the Synthesis Advanced Reliable Systems (ARES) Lab. 3

4 Cell-Based Design Flow Spec. System Level MATLAB/ C/ C++/ System C/ ADS/ Covergen (MaxSim) Memory Generator RTL Level Verilog/ VHDL NC-Verilog/ ModelSim Debussy (Verdi)/ VCS Syntest Logic Synthesis Design for Test Gate Level Layout Level Post-Layout Verification Conformal/ Formality Design/ Power Compiler DFT Compiler/ TetraMAX NC-Verilog/ ModelSim Debussy (Verdi)/ VCS SOC Encounter/ Astro GDS II DRC/ LVS (Calibre) mpiler/ Fusion hysical Com gma Blast Ph Mag PVS: Calibre xrc/ NanoSim (Time/ Power Mill) Advanced Reliable Systems (ARES) Lab. Tape Out 4

5 What is Synthesis Synthesis = translation + optimization + mapping if(high_bits == 2 b10)begin residue = state_ table[i]; []; end else begin residue = 16 h0000; end HDL Source (RTL) Translate (HDL Compiler) No Timing Info. Generic Boolean (GTECT) Optimize + Mapping (HDL Compiler) Timing Info. The synthesis is constraint driven and technology independent!! Advanced Reliable Systems (ARES) Lab. Target Technology 5

6 Logic Synthesis Overview RTL Design Architecture Optimization HDL Compiler Design Ware Library DW Developer Logic Optimization Design Compiler Technology Library Lib Compiler Optimized Gate-Level Netlist Advanced Reliable Systems (ARES) Lab. 6

7 Compile RTL code or netlist Attributes & Constraints Compile Optimized Design (Gate-Level Netlist) Schematic Reports (Timing, Area, Power,,, etc) Technology Library Flatten Structure (Can be set by the GUI interface or user-defined Script File!!) Logic Level Optimization Gate Level Optimization Technology Library Map Advanced Reliable Systems (ARES) Lab. 7

8 Logic Level Optimization Operate with Boolean representation of a circuit Has a global effect on the overall area/speed characteristic of a design Strategy Structure Flatten (default OFF) If both are true, the design is first flattened and then structured Ex: f = acd + bcd +e f = xy + e g = ae + be g = xe h = cde h = ye x = a + b y = cd (Structure) f0 = at f1 = d + t f2 = t e t = b + c (Flatten) f0 = ab + ac f1 = b + c + d f2 = b c e Advanced Reliable Systems (ARES) Lab. 8

9 Gate Level Optimization - Mapping Combinational Mapping Mapping rearranges components, combining and re-combining logic into different components May use different algorithms such as cloning, resizing, or buffering Try to meet the design rule constraints and the timing/area goals Sequential Mapping Optimize the mapping to sequential cells technology library Analyze combinational logics surrounding a sequential cell to see if it can absorb the logic attribute with HDL Try to save speed and area by using a more complex sequential cells Advanced Reliable Systems (ARES) Lab. 9

10 Mapping Combinational Mapping Sequential Mapping a b a b c c a b a b c c A B D Q A B Q AND_FF a a c c x1 x1 x2 x4 Critical Path Critical Path A B D Q A B Q Loop_FF a (assume g loading high) f g a f g Advanced Reliable Systems (ARES) Lab. 10

11 Boundary Optimization Design Compiler can do some optimizations across boundaries 1. Removes logic driving unconnected output ports 2. Removes redundant inverters across boundaries 3. Propagates constants to reduce logic Advanced Reliable Systems (ARES) Lab. 11

12 Static Timing Analysis Main steps of STA Break the design into sets of timing paths Calculate the delay of each path Check all path delays to see if the given timing constraints are met Four types of paths Register - Register (Reg - Reg) Primary Input - Register (PI - Reg) Register - Primary Output (Reg - PO) Primary Input - Primary Output (PI - PO) Advanced Reliable Systems (ARES) Lab. 12

13 Static Timing Analysis (Cont ) - Setup Time To meet the setup time requirement: T require >= T arrival (T slack > 0 denotes Reg to Reg no timing violation ) T arrival = T clk1 + T DFF1(clk->Q) + T PATH T require = T clk2 - T DFF2(setup) T slack = T require -T arrival Clk_source DFF1 D Q PATH T arrival DFF2 D Q clk1 T DFF1 + T path T arrival data clk1 Q clk2 Q clk2 T setup T require T slack Advanced Reliable Systems (ARES) Lab. 13

14 Static Timing Analysis (Cont ) PI to Reg - Setup Time T arrival = T PI(delay) + T PATH T require = T clk1 - T DFF1(setup) T slack = T require -T arrival Clk_source T arrival PI PATH T arrival DFF1 D Q T PI(delay) clk1 T path data T setup clk1 Q T require T slack Advanced Reliable Systems (ARES) Lab. 14

15 Static Timing Analysis (Cont ) Reg to PO - Setup Time T arrival = T clk1 + T DFF1(clk->Q) + T PATH T require = T cycle - T PO(output delay) T slack = T require -T arrival Clk_source clk1 DFF1 D Q PATH PO T arrival T DFF1 + T path T arrival data T PO(output delay) clk1 Q T require T slack Advanced Reliable Systems (ARES) Lab. 15

16 Static Timing Analysis (Cont ) PI to PO - Setup Time T arrival = T PI(delay) + T PATH T require =T cycle - T PO(output delay) T slack = T require -T arrival Clk_source T PI + T path PI PATH PO T arrival data T PO(output delay) T arrival T require T slack Advanced Reliable Systems (ARES) Lab. 16

17 Static Timing Analysis (Cont ) To meet the hold time requirement: - Hold Time T require <= T arrival Reg to Reg T arrival = T clk1 + T DFF1(clk->Q) + T PATH T require = T clk2 +T DFF2(hold) T slack = T arrival -T require Clk_source clk1 TDFF1 + T path clk1 DFF1 D Q Q PATH T arrival clk2 DFF2 D Q Q clk2 data 0 T holdtslack data 1 T require T arrival Advanced Reliable Systems (ARES) Lab. 17

18 Static Timing Analysis (Cont ) PI to Reg - Hold Time T arrival = T PI(delay) + T PATH T require = T clk1 + T DFF(hold) T slack = T arrival -T require Reg to PO T arrival = T clk1 + T DFF(clk->Q) + T PATH T require = - T PO(output delay) T slack = T arrival - T require PI to PO T arrival = T PI(delay) + T PATH T require = - T PO(output delay) T slack = T arrival -T require Advanced Reliable Systems (ARES) Lab. 18

19 Synthesizable Verilog Verilog Basis parameter declarations wire, wand, wor declarations reg declarations input, output, inout continuous assignment module instructions gate instructions always blocks task statement function definitions for, while loop Synthesizable Verilog primitives cells and, or, not, nand, nor, xor, xnor bufif0, bufif1, notif0, notif1 Advanced Reliable Systems (ARES) Lab. 19

20 Synthesizable Verilog (Cont ) Operators Binary bit-wise ( ~, &,, ^, ~^ ) Unary reduction ( &, ~&,, ~, ^, ~^ ) Logical (!, &&, ) 2 s 2s complement arithmetic ( +, -, *, /, % ) Relational ( >, <, >=, <= ) Equality ( ==,!= ) Logic shift ( >>, << ) Conditional (?: ) Concatenation ( {}) Advanced Reliable Systems (ARES) Lab. 20

21 Notice Before Synthesis Your RTL design Functional verification by some high-level language Area Better Cycle Time Also, the code coverage of your test benches should be verified (i.e. VN) Coding style checking (i.e. n-lint) Good coding style will reduce most hazards while synthesis Better optimization process results in better circuit performance Easy debugging after synthesis Constraints The area and timing of your circuit are mainly determined by your circuit architecture and coding style There is always a trade-off between the circuit timing and area In fact, a super tight timing constraint may be worked while synthesis, but failed in the Place & Route (P&R) procedure Advanced Reliable Systems (ARES) Lab. 21

22 Synthesis Using Design Compiler Advanced Reliable Systems (ARES) Lab. 22

23 <.synopsys_dc.setup> File link_library : the library used for interpreting input description Any cells instantiated in your HDL code Wire load or operating condition modules used during synthesis target_library : the ASIC technology which the design is mapped symbol_library library : used for schematic generation search_path : the path for unsolved reference library synthetic_path : designware library Advanced Reliable Systems (ARES) Lab. 23

24 Ex: <.synopsys_dc.setup> File (Cont ) MEMs libraries are also included in this file MEM Libraries (.db file) Note that the MEM DB files are converted from the LIB files which are generated from the Artisan!! (.synopsys_dc.setup File) Advanced Reliable Systems (ARES) Lab. 24

25 Settings for Using Memory Convert *.lib to *.db %> dc_shell t any memory LIB file dc_shell-t> read_lib t13spsram512x32_slow_syn.lib dc_shell-t> write_lib t13spsram512x32 -output \ t13spsram512x32_slow_syn.db user library name, which should be the same as the library name Modify <.synopsys_dc.setup> File: in the Artisan set link_library * slow.db t13spsram512x32_slow.db memory DB file dw_foundation.sldb add to the file set target_library slow.db t13spsram512x32_slow.dbslow db Before the synthesis, the memory HDL model should be blocked in your netlist Advanced Reliable Systems (ARES) Lab. 25

26 Test Pins Reservation You can add the floating test pins to your design before synthesis se: scan enable si: scan input so: scan output scantest: control signal for memory shadow wrapper (i.e. memory is used) Ex: Normal IO Declaration Test IO Declaration The pins will be connected to scans after the scan chain insertion Advanced Reliable Systems (ARES) Lab. 26

27 CHIP-Level Netlist The CHIP-level netlist consists of your Core-level netlist and the PADs Ex: CORE.v CHIP.v CORNER1 CORNER2 (CHIP-Level Declaration) I_CLK CORE CLK CSO O_CSO CORNER3 CORNER4 (CORE-Level Design) (Input PAD) I_CLK PAD C CLK (Output PAD) CSO I PAD O_CSO Advanced Reliable Systems (ARES) Lab. (IO PAD Declaration) 27

28 How To Choose the IO PAD You can reference the Databook of the IO PAD in CIC Design Kit Generally, the PDIDGZ is used as the input PAD Trade-off when considering the output PAD High driving SSN Low driving Delay Note that the loading of the CIC tester is 40pf Ex: [REF: TPZ973G TSMC 0.18um Standard I/O Library Databook, Version 240a, December 10, 2003] Advanced Reliable Systems (ARES) Lab. 28

29 Synthesis Flow Design Import DFT Insertion Setting Design Environment Setting Clock Constraints Setting Design Rule Constraints Compile After DFT Assign Violation Avoidance Naming Rule Changing Compile the Design Save Design Advanced Reliable Systems (ARES) Lab. 29

30 Getting Started Prepare Files: *.v files *.db files (i.e. memory is used) Synthesis script file (i.e. described d later) linux %> source /APP/cshbank/synthesis.csh linux %> source /APP/verdi/CIC/debussy.cshrc linux %> dv db_mode& (DB Mode) or linux %> dv& (XG Mode) Logic Hierarchy View Tool Bar Log Window Advanced Reliable Systems (ARES) Lab. Command Line (GUI view of the Design Vision) i 30

31 Read File Design Import Read netlists or other design descriptions into Design Compiler File/Read Supported formats Verilog:.v VHDL:.vhd System Verilog:.sv EDIF PLA (Berkeley Espresso):.pla Synopsys internal formats: DB (binary):.db Enhance db file:.ddc Equation:.eqn State table:.st read_file format verilog file name { Command Line } Advanced Reliable Systems (ARES) Lab. 31

32 PAD Parameters Extraction Input PAD Input delay Input driving Output PAD Output t delay Output loading (delay, driving) CORE.v (delay, loading) (chip_const.tcl) CHIP.v { Command Line } current_design CHIP characterize [get_cells CORE] current_design CORE write_script format dctcl o o chip_const.tcl const.tcl Advanced Reliable Systems (ARES) Lab. 32

33 Uniquify Select the most top design of the hierarchy Hierarchy/Uniquify/Hierarchy (Design View) (Log Window) uniquify { Command Line } Advanced Reliable Systems (ARES) Lab. 33

34 Design Environment Setting Design Environment Setting Operating Environment Setting Input Driving Strength Setting Output Loading Setting Input/Output Delay Setting Wire Load Model Advanced Reliable Systems (ARES) Lab. 34

35 Setting Operating Condition Attributes/Operating Environment/Operating Conditions Setup/Hold time is evaluated { Command Line } set_operating_conditions max slow max_library slow min fast \ -min_library fast Advanced Reliable Systems (ARES) Lab. 35

36 Setting Drive Strength/Input Delay for PADs Assume that we use the input PAD PDIDGZ my design Input PAD b D FF Q PAD C (PDIDGZ) { Command Line } set_drive [expr ] [all_inputs] set_ input _ delay y[ [expr 0.34] ][all_ inputs] Advanced Reliable Systems (ARES) Lab. 36

37 Setting Load/Output Delay for PADs Assume that we use the output PAD PDO24CDG my design clk D FF Q d Output PAD I PAD OEN (PDO24CDG) { Command Line } set_load [expr ] [all_outputs] set_output_delay _ [expr 2] [all_outputs] Advanced Reliable Systems (ARES) Lab. 37

38 Setting Wire Load Model Attributes/Operating Environment/Wire Load (Worst Case) Recommend { Command Line } set_wire_load_model name tsmc18_wl10 library slow set_wire_lode_mode top Advanced Reliable Systems (ARES) Lab. 38

39 Clock Constraints Setting Clock Constraints Period Waveform Uncertainty Skew Latency Source latency Network latency Transition Input transition Clock transition Combination Circuit Maximum Delay Constraints Advanced Reliable Systems (ARES) Lab. 39

40 Sequential Circuit Specify Clock Select the clk pin on the symbol Attributes/Specify Clock set_fix_hold: respect the hold time requirement of all clocked flip-flops set_ dont_ touch_ network: do not re-buffer the clock network { Command Line } creat_clock period 10 [get_ports clk] set_dont_touch_network [get_clocks clk] set_fix_hold [get_clocks clk] Advanced Reliable Systems (ARES) Lab. 40

41 Setting Clock Skew Ex: Different clock arrival time clk FF FF FF FF experience Small circuit: 0.1 ns Large circuit: 0.3 ns (Timing i Report) { Command Line } set_clock_uncertainty 0.1 [get_clocks clk] Advanced Reliable Systems (ARES) Lab. 41

42 Setting Clock Latency Source latency is the propagation time from the actual clock origin to the clock definition point in the design This setting can be avoid if the design is without the clock generator Ex: Your Design Origin of Clock experience Small circuit: 1 ns Large circuit: 3 ns 3ns Source Latency set_clock_latency latency 1 [get_clocks clk] { Command Line } Advanced Reliable Systems (ARES) Lab. 42

43 Setting Ideal Clock Since we usually let the clock tree synthesis (CTS) procedure performed in the P&R (i.e. set_dont_touch_network), the clock source driving capability is poor Thus, we can set the clock tree as an ideal network without driving issues Avoid the hazard in the timing evaluation set_ideal_network [get_clocks clk] { Command Line } Advanced Reliable Systems (ARES) Lab. 43

44 Setting Clock Transition create_clock set_clock_transition CLK experience < 0.5ns CICtester:05ns 0.5 { Command Line } set_ input_transition sto max a 0.5 [get_clocks sc clk] Advanced Reliable Systems (ARES) Lab. 44

45 Combination Circuit Maximum Delay Constraints For combinational circuits primarily (i.e. design with no clock) Select the start & end points of the timing path Attributes/Optimization Constraints/Timing Constraints Ex: Maximum Delay Constraint (5ns = 200 MHz) Minimum Delay Constraint Advanced Reliable Systems (ARES) Lab. 45

46 Design Rule Constraints Setting Design Rule Constraints Area Constraint Fanout Constraint Advanced Reliable Systems (ARES) Lab. 46

47 Setting Area/Fanout Constraint Attributes/Optimization Constraints/Design Constraints If you only concern the circuit area, but don t care about the timing You can set the max area constraints to 0 { Command Line } set_max_area 0 set_max_fanout 50 [get_designs CORE] Advanced Reliable Systems (ARES) Lab. 47

48 Compile the Design Compile the Design Design/Compile Design { Command Line } compile map_effort high boundary_optimization Advanced Reliable Systems (ARES) Lab. 48

49 Example for DFT Insertion DFT Insertion { Command Line } ####DB mode#### set_dft_configuration -autofix DB set_dft_configuration -shadow_wrapperwrapper Mode set_scan_configuration -style multiplexed_flip_flop set_scan_configuration -clock_mixing no_mix set_scan_configuration -methodology full_scan set_scan_signal scan signal test_scan_in scan -port si set_scan_signal test_scan_out -port so set_scan_signal test_scan_enable -port se set_dft_signal test_mode -port scantest set_test_hold test 0 rst set_test_hold 1 scantest set_test_hold 1 se create_test_clock -period 100 -waveform [list 40 60] [find port "clk"] set_port_configuration -cell RA1SHD256x8 -clock clk set_port_configuration -cell RA1SHD256x8 -port "Q" -tristate -read {"OEN" 0} -clock clk set_port_configuration -cell RA1SHD256x8 -port "A" -write {"WEN" 0} -clock clk set_port_configuration -cell RA1SHD256x8 -port "D" -write {"WEN" 0} -clock clk set_ wrapper _element e e RA1SHD256x8 -type shadow set_wrapper_element FJU_MEM -type shadow set_fix_multiple_port_nets -all -constants -buffer_constants [get_designs *] insert_dft Advanced Reliable Systems (ARES) Lab. 49

50 Example for DFT Insertion (Cont ) { Command Line } ####XG mode#### create_port dir in scan_in XG create_port dir out scan_out Mode create_port dir in scan_en compile scan boundary_optimization set_scan_configuration scan configuration internal_clocks single chain_count count 1 clock_mixing no_mix set_dft_signal view exist type TestClock timing {45 55} port {clk} set_dft_signal view exist type Reset active 1 port reset set_dft_signal view spec type ScanEnable port scan_en active 1 set_dft_signal view spec type ScanDataIn port scan_in set_dft_signal view spec type ScanDataOut port scan_out set_scan_path chain1 view spec scan_data_in scan_in scan_data_out scan_out create_test_protocol dft_drc preview_dft show scan_clocks set_false_path from [get_ports scan_en] insert_dft Advanced Reliable Systems (ARES) Lab. 50

51 Compile After DFT Compile After DFT compile -scan check_scan report_test -scan_path estimate_test_coverage { Command Line } The fault coverage will be shown as below: Advanced Reliable Systems (ARES) Lab. 51

52 Assign Problem Assign Violation Avoidance The syntax of assign may cause problems in the LVS assign \A[19] = A[19]; assign \A[18] = A[18]; assign \A[17] = A[17]; assign \A[16] = A[16]; assign \A[15] = A[15]; assign ABSVAL[19] = \A[19]; assign ABSVAL[18] = \A[18]; assign ABSVAL[17] = \A[17]; assign ABSVAL[16] = \A[16]; assign ABSVAL[15] = \A[15]; BUFX1 X37X(.I(A[19]),.Z(ABSVAL[19]) ); BUFX1 X38X(.I(A[18]),.Z(ABSVAL[18]) ); BUFX1 X39X(.I(A[17]),.Z(ABSVAL[17]) ); BUFX1 X40X(.I(A[16]),.Z(ABSVAL[16]) ); BUFX1 X41X(.I(A[15]),.Z(ABSVAL[15]) ); { Command Line } set_fix_multiple_port_nets all constants buffer_constants [get_designs *] Advanced Reliable Systems (ARES) Lab. 52

53 Floating Port Removing Due to some ports in the standard cells are not used in your design { Command Line } remove_unconnected_ports blast_buses [get_cells hierarchical *] Advanced Reliable Systems (ARES) Lab. 53

54 Chang Naming Rule Script Naming Rule Changing Purpose: Let the naming-rule definitions in the gate-level netlist are the same as in the timing file (e.g. *.sdf file) Also, the wrong naming rules may cause problems in the LVS { Command Line } set bus_inference_style {%s[%d]} set bus_naming_style {%s[%d]} set hdlout_internal_busses true change_names -hierarchy -rule verilog define_name_rules name_rule -allowed "A-Z a-z 0-9 _" -max_length 255 -type cell define_name_rules name_rule -allowed "A-Z a-z 0-9 _[]" -max_length 255 -type net define_name_rules name name_rule -map {{"\\*cell\\*""cell"}} define_name_rules name_rule -case_insensitive change_names -hierarchy -rules name_rule Advanced Reliable Systems (ARES) Lab. 54

55 Save Design Save Design Five design files: *.spf: test protocol file for ATPG tools (i.e. TetraMax) *.sdc: timing constraint file for P&R *.vg: gate-level netlist for P&R *.sdf: timing file for Verilog simulation *.db: binary file (i.e. all the constraints and synthesis results are recorded) { Command Line } write_test_protocol -f stil -out "CHIP.spf" write_sdc CHIP.sdc write -format verilog -hierarchy -output "CHIP.vg" write_sdf -version 1.0 CHIP.sdf write -format db -hierarchy -output "CHIP.db" Advanced Reliable Systems (ARES) Lab. 55

56 Synthesis Report Report Design Hierarchy Report Area Design View Report Timing Critical Path Highlighting Timing Slack Histogram Advanced Reliable Systems (ARES) Lab. 56

57 Report Design Hierarchy Hierarchy report shows the component used in your each block & its hierarchy Design/Report Design Hierarchy Ex: Advanced Reliable Systems (ARES) Lab. 57

58 Report Area Ex: Design/Report Area (0.18um Cell-Library: 1 gate 10 um 2 ) (0.13um Cell-Library: 1 gate 5 um 2 ) (um 2 ) Advanced Reliable Systems (ARES) Lab. 58

59 Design View List/Design View Ex: All the block area are listed!! Advanced Reliable Systems (ARES) Lab. 59

60 Report Timing Timing/Report Timing Ex: setup time Critical Path max: setup time min: hold time Slack = Data Require Time Data Arrival Time Advanced Reliable Systems (ARES) Lab. 60

61 Critical Path Highlighting Ex: View/Highlight/Critical Path Advanced Reliable Systems (ARES) Lab. 61

62 Timing Slack Histogram Timing/Endpoint Slack Totally 190 paths are in the slack range between 0 to 1.78 Ex: Resolution Advanced Reliable Systems (ARES) Lab. 62

63 Edit Your Own Script File For convenient, you should edit your own synthesis script file. Whenever you want to synthesis a new design, you just only change some parameters in this file. Ex: Execute Script File Setup/Execute Script Or use source your_ script.dc in dc_ shell command line Advanced Reliable Systems (ARES) Lab. 63

64 Gate-Level Simulation Include the Verilog model of standard cell and gate-level netlist to your test bench Standard Cell Library Gate- Level Netlist Add the following Synopsys directives to the test bench *.sdf File Instance Name Delay Advanced Reliable Systems (ARES) Lab. 64

65 Simulation-Based Power Estimation Using PrimePower Advanced Reliable Systems (ARES) Lab. 65

66 <.synopsys_pp.setup> File Modify the <.synopsys_dc.setup> file, and then save as <.synopsys_pp.setup pp setup > Add the path where you put this file Delete the directives (.synopsys_pp.setup) Advanced Reliable Systems (ARES) Lab. 66

67 VCD File Generation Add the following Synopsys directives to the test bench Then, run the Verilog simulation Advanced Reliable Systems (ARES) Lab. 67

68 Instructions linux %> source /APP/cshbank/primepower.csh linux %> pp_ shell pp_shell> read_verilog CORE.vg pp_shell> current_design CORE TOP Module Name pp_shell> read_vcd strip_path test/core CORE.vcd Module Name of Test Bench Instance Name pp_shell> set_waveform_options interval 1 format fsdb file pwr1 pp_shell> calculate_power waveform statistics pp_shell> report_power file pwr_rpt hier 2 Power Report File Name Resolution Waveform Waveform Format File Name Estimation Hierarchy Advanced Reliable Systems (ARES) Lab. 68

69 Power Report Ex: Advanced Reliable Systems (ARES) Lab. 69

70 Power Waveforms Ex: For example, the figure shows the power waveforms of the BIST execution. We can observe that the power varies drastically while the memory is accessed Advanced Reliable Systems (ARES) Lab. 70

71 Artisan Memory Compiler Advanced Reliable Systems (ARES) Lab. 71

72 Overview Artisan SRAM Types: Generator Product Name Executable High-Speed/Density Single-Port SRAM SRAM-SP ra1sh High-Speed/Density Dual-Port SRAM SRAM-DP ra2sh High-Density it Single-Port SRAM SRAM-SP-HDSP ra1shd 1hd High-Density Dual-Port SRAM SRAM-DP-HD ra2shd Low-Power Single-Port SRAM SRAM-SP-LP ra1shl [REF: Artisan User Manual] Only ra1shd and ra2sh are supported in school Generated files: Memory Spec. (i.e. used for layout-replacement procedure in CIC flow) Memory Data Sheet Simulation models: Verilog Model & VHDL Model Memory Libraries for P&R: Synopsys Model & VCLEF Footprint Timing Files: TLF Model & PrimeTime Model Advanced Reliable Systems (ARES) Lab. 72

73 Pin Descriptions for Single-Port SRAM CLK WEN[*] CEN OEN A[m-1:0] D[n-1:0] SRAM Q[n-1:0] Name Type Description CLK Input Clock Basic Pins WEN[*] Input Write enable, active low. *If word-write mask is enabled, this becomes a bus CEN Input Chip enable, active low OEN Input Tri-state output enable A[m-1:0] Input Address (A[0]=LSB) D[n-1:0] Input Data inputs (D[0]=LSB) Q[n-1:0] Output Data outputs (Q[0]=LSB) Advanced Reliable Systems (ARES) Lab. 73

74 Example for Word-Write Mask Word Width: 64 bits Word Partition Size: 32 bits Mask Width = WEN Width = 2 WEN[1:0] 11: No write 10: Write to LSB part 01: Write to MSB part 00: Write to the whole word CLK WEN[*] CEN OEN A[9:0] D[63:0] 64k RAM Q[63:0] D[63:0] D[63:0] WEN = 2 b11 word WEN = 2 b10 word D[63:0] D[63:0] WEN = 2 b01 word WEN = 2 b00 word Advanced Reliable Systems (ARES) Lab. 74

75 Waveforms for Single-Port SRAM Read Cycle t cyc t cyc t ckh t ckl t ckh t ckl CLK t cs t ch t cs t ch t cs t ch CEN WEN 1 t ws t wh t ws t wh A[ j ] t as t ah t as t ah ADD1 ADD2 t a t a Q[ i ] Q1 Q2 Advanced Reliable Systems (ARES) Lab. 75

76 Waveforms for Single-Port SRAM (Cont ) Write Cycle t cyc t cyc t ckh t ckl t ckh t ckl CLK t cs t ch t cs t ch t cs t ch CEN t t h t t WEN 1 t ws t wh t ws t wh t as t ah t as t ah A[ j ] ADD1 ADD2 t ds t dh t ds t dh D[ i ] Q[ i ] DATA1 t a Q1 DATA2 t a Q2 Advanced Reliable Systems (ARES) Lab. 76

77 Getting Started linux %> ssh -l user name cae18.ee.ncu.edu.tw Connect to Unix (1-port RAM) unix%> ~jfli/cell_lib/cbdk018_tsmc_artisan/cic/memory/ra1shd/bin/ra1shd (2-port RAM) unix%> ~jfli/cell_lib/cbdk018_tsmc_artisan/cic/memory/ra2sh/bin/ra2sh Memory Spec. Configuration Generated Files Selection Advanced Reliable Systems (ARES) Lab. (GUI view of the Artisan) 77

78 Memory Spec Configuration (Example 1) Instance Name mem_32k Ex: 32k RAM (no mask write) Number of Words 1024 Number of Bits 32 CLK Frequency <MHz> 100 WEN Ring Width <um> CEN 2 32k RAM Multiplexer l Width OEN A[9:0] D[31:0] Drive Strength Word-Write Mask 4 on off Top Metal Layer m4 m5 m6 Power Type 256 Horizontal Ring Layer m1 m2 m3 m4 Vertical Ring Layer m2 m3 m4 32 bits 32 CLK Q[31:0] Advanced Reliable Systems (ARES) Lab. 78

79 Memory Spec Configuration (Example 2) Instance Name mem_64k Ex: 64k RAM (with mask write) Number of Words 2048 Number of Bits 32 CLK Frequency <MHz> 100 WEN[3:0] Ring Width <um> CEN Multiplexer Width 2 64k RAM OEN A[9:0] Drive Strength D[31:0] Word-Write Mask on off Word Partition Size 8 8 Top Metal Layer m4 m5 m6 Power Type Horizontal Ring Layer 256 m1 m2 m3 m4 Vertical Ring Layer m2 m3 m4 32 CLK Q[31:0] 32 bits Advanced Reliable Systems (ARES) Lab. 79

80 File Generation (Method 1) Pop-up window PostScript Datasheet (.ps) Convert to PDF file: ps2pdf *.ps ASCII Datatable (.dat) Verilog Model (.v) VHDL Model (.vhd) Synopsys y Model (.lib) The default library name is USERLIB PrimeTime Model TLF Model VCLEF Footprint (.vclef) (File Selection) Advanced Reliable Systems (ARES) Lab. 80

81 File Generation (Method 2) From the menu Spec. Generation The memory spec. file will be used for the Layout Replacement procedure in the CIC server Advanced Reliable Systems (ARES) Lab. 81

82 LAB Advanced Reliable Systems (ARES) Lab. 82

Training Course of Design Compiler

Training Course of Design Compiler Training Course of Design Compiler T. W. Tseng, ARES Lab 2008 Summer Training Course of Design Compiler REF: CIC Training Manual Logic Synthesis with Design Compiler, July, 2006 TSMC 0.18um Process 1.8-Volt

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

Training Course of SOC Encounter

Training Course of SOC Encounter Training Course of SOC Encounter REF: CIC Training Manual Cell-Based IC Physical Design and Verification with SOC Encounter, July, 2006 CIC Training Manual Mixed-Signal IC Design Concepts, July, 2007 Speaker:

More information

Getting the Most Out of Synthesis

Getting the Most Out of Synthesis Outline Getting the Most Out of Synthesis Dr. Paul D. Franzon 1. Timing Optimization Approaches 2. Area Optimization Approaches 3. Design Partitioning References 1. Smith and Franzon, Chapter 11 2. D.Smith,

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

IL2225 Physical Design

IL2225 Physical Design IL2225 Physical Design Nasim Farahini farahini@kth.se Outline Physical Implementation Styles ASIC physical design Flow Floor and Power planning Placement Clock Tree Synthesis Routing Timing Analysis Verification

More information

Digital IC Design Flow

Digital IC Design Flow Collège Militaire Royal du Canada (Cadence University Alliance Program Member) Department of Electrical and Computer Engineering Départment de Génie Electrique et Informatique RMC Microelectronics Lab

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

A Verilog HDL Test Bench Primer Application Note

A Verilog HDL Test Bench Primer Application Note A Verilog HDL Test Bench Primer Application Note Table of Contents Introduction...1 Overview...1 The Device Under Test (D.U.T.)...1 The Test Bench...1 Instantiations...2 Figure 1- DUT Instantiation...2

More information

Chapter 13: Verification

Chapter 13: Verification Chapter 13: Verification Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008-2010,

More information

Route Power 10 Connect Powerpin 10.1 Route Special Route 10.2 Net(s): VSS VDD

Route Power 10 Connect Powerpin 10.1 Route Special Route 10.2 Net(s): VSS VDD SOCE Lab (2/2): Clock Tree Synthesis and Routing Lab materials are available at ~cvsd/cur/soce/powerplan.tar.gz Please untar the file in the folder SOCE_Lab before lab 1 Open SOC Encounter 1.1 % source

More information

Design Verification & Testing Design for Testability and Scan

Design Verification & Testing Design for Testability and Scan Overview esign for testability (FT) makes it possible to: Assure the detection of all faults in a circuit Reduce the cost and time associated with test development Reduce the execution time of performing

More information

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Clifford Wolf, Johann Glaser, Florian Schupfer, Jan Haase, Christoph Grimm Computer Technology /99 Overview Ultra-Low-Power

More information

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Datasheet -CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Overview -CV is an equivalence checker for full custom designs. It enables efficient comparison of a reference design

More information

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit Modeling Sequential Elements with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 4-1 Sequential Circuit Outputs are functions of inputs and present states of storage elements

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton Dept. of Electrical and Computer Engineering University of British Columbia bradq@ece.ubc.ca

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

Contents. Overview... 5-1 Memory Compilers Selection Guide... 5-2

Contents. Overview... 5-1 Memory Compilers Selection Guide... 5-2 Memory Compilers 5 Contents Overview... 5-1 Memory Compilers Selection Guide... 5-2 CROM Gen... 5-3 DROM Gen... 5-9 SPSRM Gen... 5-15 SPSRM Gen... 5-22 SPRM Gen... 5-31 DPSRM Gen... 5-38 DPSRM Gen... 5-47

More information

STA - Static Timing Analysis. STA Lecturer: Gil Rahav Semester B, EE Dept. BGU. Freescale Semiconductors Israel

STA - Static Timing Analysis. STA Lecturer: Gil Rahav Semester B, EE Dept. BGU. Freescale Semiconductors Israel STA - Static Timing Analysis STA Lecturer: Gil Rahav Semester B, EE Dept. BGU. Freescale Semiconductors Israel Static Verification Flow RTL Domain Synthesis Functional Simulation Testbench Equivalence

More information

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies ETEC 2301 Programmable Logic Devices Chapter 10 Counters Shawnee State University Department of Industrial and Engineering Technologies Copyright 2007 by Janna B. Gallaher Asynchronous Counter Operation

More information

ECE232: Hardware Organization and Design. Part 3: Verilog Tutorial. http://www.ecs.umass.edu/ece/ece232/ Basic Verilog

ECE232: Hardware Organization and Design. Part 3: Verilog Tutorial. http://www.ecs.umass.edu/ece/ece232/ Basic Verilog ECE232: Hardware Organization and Design Part 3: Verilog Tutorial http://www.ecs.umass.edu/ece/ece232/ Basic Verilog module ();

More information

HDL Simulation Framework

HDL Simulation Framework PPC-System.mhs CoreGen Dateien.xco HDL-Design.vhd /.v SimGen HDL Wrapper Sim-Modelle.vhd /.v Platgen Coregen XST HDL Simulation Framework RAM Map Netzliste Netzliste Netzliste UNISIM NetGen vcom / vlog.bmm.ngc.ngc.ngc

More information

VHDL GUIDELINES FOR SYNTHESIS

VHDL GUIDELINES FOR SYNTHESIS VHDL GUIDELINES FOR SYNTHESIS Claudio Talarico For internal use only 1/19 BASICS VHDL VHDL (Very high speed integrated circuit Hardware Description Language) is a hardware description language that allows

More information

Sequential 4-bit Adder Design Report

Sequential 4-bit Adder Design Report UNIVERSITY OF WATERLOO Faculty of Engineering E&CE 438: Digital Integrated Circuits Sequential 4-bit Adder Design Report Prepared by: Ian Hung (ixxxxxx), 99XXXXXX Annette Lo (axxxxxx), 99XXXXXX Pamela

More information

Introduction to VLSI Testing

Introduction to VLSI Testing Introduction to VLSI Testing 李 昆 忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan, R.O.C. Introduction to VLSI Testing.1 Problems to Think A 32 bit adder A

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes of stuck-at

More information

Life Cycle of a Memory Request. Ring Example: 2 requests for lock 17

Life Cycle of a Memory Request. Ring Example: 2 requests for lock 17 Life Cycle of a Memory Request (1) Use AQR or AQW to place address in AQ (2) If A[31]==0, check for hit in DCache Ring (3) Read Hit: place cache word in RQ; Write Hit: replace cache word with WQ RDDest/RDreturn

More information

IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR

IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR International Journal of Engineering & Science Research IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR ABSTRACT Pathik Gandhi* 1, Milan Dalwadi

More information

Quartus II Introduction for VHDL Users

Quartus II Introduction for VHDL Users Quartus II Introduction for VHDL Users This tutorial presents an introduction to the Quartus II software. It gives a general overview of a typical CAD flow for designing circuits that are implemented by

More information

Digital Design Verification

Digital Design Verification Digital Design Verification Course Instructor: Debdeep Mukhopadhyay Dept of Computer Sc. and Engg. Indian Institute of Technology Madras, Even Semester Course No: CS 676 1 Verification??? What is meant

More information

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems Harris Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8

GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8 GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8 Robert G. Brown All Rights Reserved August 25, 2000 Alta Engineering 58 Cedar Lane New Hartford, CT 06057-2905 (860) 489-8003 www.alta-engineering.com

More information

VLSI Design Verification and Testing

VLSI Design Verification and Testing VLSI Design Verification and Testing Instructor Chintan Patel (Contact using email: cpatel2@cs.umbc.edu). Text Michael L. Bushnell and Vishwani D. Agrawal, Essentials of Electronic Testing, for Digital,

More information

Lab 1: Introduction to Xilinx ISE Tutorial

Lab 1: Introduction to Xilinx ISE Tutorial Lab 1: Introduction to Xilinx ISE Tutorial This tutorial will introduce the reader to the Xilinx ISE software. Stepby-step instructions will be given to guide the reader through generating a project, creating

More information

A New Paradigm for Synchronous State Machine Design in Verilog

A New Paradigm for Synchronous State Machine Design in Verilog A New Paradigm for Synchronous State Machine Design in Verilog Randy Nuss Copyright 1999 Idea Consulting Introduction Synchronous State Machines are one of the most common building blocks in modern digital

More information

Jianjian Song LogicWorks 4 Tutorials (5/15/03) Page 1 of 14

Jianjian Song LogicWorks 4 Tutorials (5/15/03) Page 1 of 14 LogicWorks 4 Tutorials Jianjian Song Department of Electrical and Computer Engineering Rose-Hulman Institute of Technology March 23 Table of Contents LogicWorks 4 Installation and update...2 2 Tutorial

More information

ECE 3401 Lecture 7. Concurrent Statements & Sequential Statements (Process)

ECE 3401 Lecture 7. Concurrent Statements & Sequential Statements (Process) ECE 3401 Lecture 7 Concurrent Statements & Sequential Statements (Process) Concurrent Statements VHDL provides four different types of concurrent statements namely: Signal Assignment Statement Simple Assignment

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

Lab 1: Full Adder 0.0

Lab 1: Full Adder 0.0 Lab 1: Full Adder 0.0 Introduction In this lab you will design a simple digital circuit called a full adder. You will then use logic gates to draw a schematic for the circuit. Finally, you will verify

More information

ModelSim-Altera Software Simulation User Guide

ModelSim-Altera Software Simulation User Guide ModelSim-Altera Software Simulation User Guide ModelSim-Altera Software Simulation User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01102-2.0 Document last updated for Altera Complete

More information

VHDL Test Bench Tutorial

VHDL Test Bench Tutorial University of Pennsylvania Department of Electrical and Systems Engineering ESE171 - Digital Design Laboratory VHDL Test Bench Tutorial Purpose The goal of this tutorial is to demonstrate how to automate

More information

Objective. Testing Principle. Types of Testing. Characterization Test. Verification Testing. VLSI Design Verification and Testing.

Objective. Testing Principle. Types of Testing. Characterization Test. Verification Testing. VLSI Design Verification and Testing. VLSI Design Verification and Testing Objective VLSI Testing Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut Need to understand Types of tests performed at different stages

More information

Space product assurance

Space product assurance ECSS-Q-ST-60-02C Space product assurance ASIC and FPGA development ECSS Secretariat ESA-ESTEC Requirements & Standards Division Noordwijk, The Netherlands Foreword This Standard is one of the series of

More information

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing Design Verification and Test of Digital VLSI Circuits NPTEL Video Course Module-VII Lecture-I Introduction to Digital VLSI Testing VLSI Design, Verification and Test Flow Customer's Requirements Specifications

More information

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING BARIS TASKIN, JOHN WOOD, IVAN S. KOURTEV February 28, 2005 Research Objective Objective: Electronic design automation

More information

Timing Methodologies (cont d) Registers. Typical timing specifications. Synchronous System Model. Short Paths. System Clock Frequency

Timing Methodologies (cont d) Registers. Typical timing specifications. Synchronous System Model. Short Paths. System Clock Frequency Registers Timing Methodologies (cont d) Sample data using clock Hold data between clock cycles Computation (and delay) occurs between registers efinition of terms setup time: minimum time before the clocking

More information

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

CLOCK DOMAIN CROSSING CLOSING THE LOOP ON CLOCK DOMAIN FUNCTIONAL IMPLEMENTATION PROBLEMS

CLOCK DOMAIN CROSSING CLOSING THE LOOP ON CLOCK DOMAIN FUNCTIONAL IMPLEMENTATION PROBLEMS TECHNICAL PAPER CLOCK DOMAIN CROSSING CLOSING THE LOOP ON CLOCK DOMAIN FUNCTIONAL IMPLEMENTATION PROBLEMS TABLE OF CONTENTS 1 Overview...........................................................................1

More information

PCB Project (*.PrjPcb)

PCB Project (*.PrjPcb) Project Essentials Summary The basis of every design captured in Altium Designer is the project. This application note outlines the different kinds of projects, techniques for working on projects and how

More information

Lecture 7: Clocking of VLSI Systems

Lecture 7: Clocking of VLSI Systems Lecture 7: Clocking of VLSI Systems MAH, AEN EE271 Lecture 7 1 Overview Reading Wolf 5.3 Two-Phase Clocking (good description) W&E 5.5.1, 5.5.2, 5.5.3, 5.5.4, 5.5.9, 5.5.10 - Clocking Note: The analysis

More information

HD61202U. (Dot Matrix Liquid Crystal GraphicDisplay Column Driver)

HD61202U. (Dot Matrix Liquid Crystal GraphicDisplay Column Driver) HD622U (Dot Matrix Liquid Crystal GraphicDisplay Column Driver) Description HD622U is a column (segment) driver for dot matrix liquid crystal graphic display systems. It stores the display data transferred

More information

High Fanout Without High Stress: Synthesis and Optimization of High-fanout Nets Using Design Compiler 2000.11

High Fanout Without High Stress: Synthesis and Optimization of High-fanout Nets Using Design Compiler 2000.11 High Fanout Without High Stress: Synthesis and Optimization of High-fanout Nets Using Design Compiler 2000.11 Rick Furtner Tensilica, Inc rfurtner@tensilica.com ABSTRACT High fanout nets, especially resets

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

EXPERIMENT 8. Flip-Flops and Sequential Circuits

EXPERIMENT 8. Flip-Flops and Sequential Circuits EXPERIMENT 8. Flip-Flops and Sequential Circuits I. Introduction I.a. Objectives The objective of this experiment is to become familiar with the basic operational principles of flip-flops and counters.

More information

Asynchronous & Synchronous Reset Design Techniques - Part Deux

Asynchronous & Synchronous Reset Design Techniques - Part Deux Clifford E. Cummings Don Mills Steve Golson Sunburst Design, Inc. LCDM Engineering Trilobyte Systems cliffc@sunburst-design.com mills@lcdm-eng.com sgolson@trilobyte.com ABSTRACT This paper will investigate

More information

16-bit ALU, Register File and Memory Write Interface

16-bit ALU, Register File and Memory Write Interface CS M152B Fall 2002 Project 2 16-bit ALU, Register File and Memory Write Interface Suggested Due Date: Monday, October 21, 2002 Actual Due Date determined by your Lab TA This project will take much longer

More information

2003 IC/CAD Contest Problem 5: Clock Tree Optimization for Useful Skew

2003 IC/CAD Contest Problem 5: Clock Tree Optimization for Useful Skew 2003 IC/CAD Contest Problem 5: Clock Tree Optimization for Useful Skew Source: Global UniChip Corp. February 11, 2003, revised on March 21, 2003 & April 22, 2003 1. Introduction There are two approaches

More information

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization TingTing Hwang Tsing Hua University, Hsin-Chu 1 Outline Introduction Engineering Change Order (ECO) Voltage drop (IR-DROP) New design

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation Testing & Verification of Digital Circuits ECE/CS 5745/6745 Hardware Verification using Symbolic Computation Instructor: Priyank Kalla (kalla@ece.utah.edu) 3 Credits Mon, Wed, 1:25-2:45pm, WEB L105 Office

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Combinational Controllability Controllability Formulas (Cont.)

Combinational Controllability Controllability Formulas (Cont.) Outline Digital Testing: Testability Measures The case for DFT Testability Measures Controllability and observability SCOA measures Combinational circuits Sequential circuits Adhoc techniques Easily testable

More information

RTL Low Power Techniques for System-On-Chip Designs

RTL Low Power Techniques for System-On-Chip Designs RTL Low Power Techniques for System-On-Chip Designs Mike Gladden Motorola, Inc. Austin, TX rwdb80@email.sps.mot.com Indraneel Das Synopsys, Inc. Austin, TX ineel@synopsys.com ABSTRACT Low power design

More information

PROGETTO DI SISTEMI ELETTRONICI DIGITALI. Digital Systems Design. Digital Circuits Advanced Topics

PROGETTO DI SISTEMI ELETTRONICI DIGITALI. Digital Systems Design. Digital Circuits Advanced Topics PROGETTO DI SISTEMI ELETTRONICI DIGITALI Digital Systems Design Digital Circuits Advanced Topics 1 Sequential circuit and metastability 2 Sequential circuit - FSM A Sequential circuit contains: Storage

More information

DS1621 Digital Thermometer and Thermostat

DS1621 Digital Thermometer and Thermostat www.maxim-ic.com FEATURES Temperature measurements require no external components Measures temperatures from -55 C to +125 C in 0.5 C increments. Fahrenheit equivalent is -67 F to 257 F in 0.9 F increments

More information

Counters and Decoders

Counters and Decoders Physics 3330 Experiment #10 Fall 1999 Purpose Counters and Decoders In this experiment, you will design and construct a 4-bit ripple-through decade counter with a decimal read-out display. Such a counter

More information

JTAG-HS2 Programming Cable for Xilinx FPGAs. Overview. Revised January 22, 2015 This manual applies to the HTAG-HS2 rev. A

JTAG-HS2 Programming Cable for Xilinx FPGAs. Overview. Revised January 22, 2015 This manual applies to the HTAG-HS2 rev. A 1300 Henley Court Pullman, WA 99163 509.334.6306 www.digilentinc.com Programming Cable for Xilinx FPGAs Revised January 22, 2015 This manual applies to the HTAG-HS2 rev. A Overview The Joint Test Action

More information

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa Experiment # 9 Clock generator circuits & Counters Eng. Waleed Y. Mousa 1. Objectives: 1. Understanding the principles and construction of Clock generator. 2. To be familiar with clock pulse generation

More information

EEC 118 Lecture #17: Implementation Strategies, Manufacturability, and Testing

EEC 118 Lecture #17: Implementation Strategies, Manufacturability, and Testing EEC 118 Lecture #17: Implementation Strategies, Manufacturability, and Testing Stanley Hsu 6/5/2012 Slides courtesy of Rajeevan Amirtharajah and Bevan Baas, UC Davis, Zhiyi Yu, Fudan University, and Jeff

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division Introduction to Programmable Logic Devices John Coughlan RAL Technology Department Detector & Electronics Division PPD Lectures Programmable Logic is Key Underlying Technology. First-Level and High-Level

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

PACKAGE OUTLINE DALLAS DS2434 DS2434 GND. PR 35 PACKAGE See Mech. Drawings Section

PACKAGE OUTLINE DALLAS DS2434 DS2434 GND. PR 35 PACKAGE See Mech. Drawings Section PRELIMINARY DS2434 Battery Identification Chip FEATURES Provides unique ID number to battery packs PACKAGE OUTLINE Eliminates thermistors by sensing battery temperature on chip DALLAS DS2434 1 2 3 256

More information

HT1632C 32 8 &24 16 LED Driver

HT1632C 32 8 &24 16 LED Driver 328 &216 LED Driver Features Operating voltage: 2.V~5.5V Multiple LED display 32 ROW /8 COM and 2 ROW & 16 COM Integrated display RAM select 32 ROW & 8 COM for 6 display RAM, or select 2 ROW & 16 COM for

More information

Latch Timing Parameters. Flip-flop Timing Parameters. Typical Clock System. Clocking Overhead

Latch Timing Parameters. Flip-flop Timing Parameters. Typical Clock System. Clocking Overhead Clock - key to synchronous systems Topic 7 Clocking Strategies in VLSI Systems Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Clocks help the design of FSM where

More information

Designing a Schematic and Layout in PCB Artist

Designing a Schematic and Layout in PCB Artist Designing a Schematic and Layout in PCB Artist Application Note Max Cooper March 28 th, 2014 ECE 480 Abstract PCB Artist is a free software package that allows users to design and layout a printed circuit

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Lesson 1 - Creating a Project

Lesson 1 - Creating a Project Lesson 1 - Creating a Project The goals for this lesson are: Create a project A project is a collection entity for an HDL design under specification or test. Projects ease interaction with the tool and

More information

Chapter 2 Verilog HDL for Design and Test

Chapter 2 Verilog HDL for Design and Test Chapter 2 Verilog HDL for Design and Test In Chapter 1, we discussed the basics of test and presented ways in which hardware description languages (HDLs) could be used to improve various aspects of digital

More information

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor A Starter Guide Joseph Yiu November 2014 version 1.02 27 Nov 2014 1 - Background Since the ARM Cortex -M0 Processor was released a few years

More information

Multiplexers Two Types + Verilog

Multiplexers Two Types + Verilog Multiplexers Two Types + Verilog ENEE 245: Digital Circuits and ystems Laboratory Lab 7 Objectives The objectives of this laboratory are the following: To become familiar with continuous ments and procedural

More information

Encounter DFT Architect

Encounter DFT Architect Full-chip, synthesis-based, power-aware test architecture development Cadence Encounter DFT Architect addresses and optimizes multiple design and manufacturing objectives such as timing, area, wiring,

More information

LatticeECP3 High-Speed I/O Interface

LatticeECP3 High-Speed I/O Interface April 2013 Introduction Technical Note TN1180 LatticeECP3 devices support high-speed I/O interfaces, including Double Data Rate (DDR) and Single Data Rate (SDR) interfaces, using the logic built into the

More information

Altera Error Message Register Unloader IP Core User Guide

Altera Error Message Register Unloader IP Core User Guide 2015.06.12 Altera Error Message Register Unloader IP Core User Guide UG-01162 Subscribe The Error Message Register (EMR) Unloader IP core (altera unloader) reads and stores data from the hardened error

More information

Hardware Implementations of RSA Using Fast Montgomery Multiplications. ECE 645 Prof. Gaj Mike Koontz and Ryon Sumner

Hardware Implementations of RSA Using Fast Montgomery Multiplications. ECE 645 Prof. Gaj Mike Koontz and Ryon Sumner Hardware Implementations of RSA Using Fast Montgomery Multiplications ECE 645 Prof. Gaj Mike Koontz and Ryon Sumner Overview Introduction Functional Specifications Implemented Design and Optimizations

More information

A Utility for Leakage Power Recovery within PrimeTime 1 SI

A Utility for Leakage Power Recovery within PrimeTime 1 SI within PrimeTime 1 SI Bruce Zahn LSI Corporation Bruce.Zahn@lsi.com ABSTRACT This paper describes a utility which is run within the PrimeTime SI signoff environment that recovers leakage power and achieves

More information

DS1721 2-Wire Digital Thermometer and Thermostat

DS1721 2-Wire Digital Thermometer and Thermostat www.dalsemi.com FEATURES Temperature measurements require no external components with ±1 C accuracy Measures temperatures from -55 C to +125 C; Fahrenheit equivalent is -67 F to +257 F Temperature resolution

More information

DS1621 Digital Thermometer and Thermostat

DS1621 Digital Thermometer and Thermostat Digital Thermometer and Thermostat www.dalsemi.com FEATURES Temperature measurements require no external components Measures temperatures from 55 C to +125 C in 0.5 C increments. Fahrenheit equivalent

More information

Lab1 : 2-1 MUX. 1. Change to Lab1 directory. It contains the mux.v and mux_text.v files. use this command : cd Lab1

Lab1 : 2-1 MUX. 1. Change to Lab1 directory. It contains the mux.v and mux_text.v files. use this command : cd Lab1 Please design a 2-1MUX Specifications Module name : mux Input pins : a, b, sel Output pins : out Function : Lab1 : 2-1 MUX 1. Change to Lab1 directory. It contains the mux.v and mux_text.v files. use this

More information

路 論 Chapter 15 System-Level Physical Design

路 論 Chapter 15 System-Level Physical Design Introduction to VLSI Circuits and Systems 路 論 Chapter 15 System-Level Physical Design Dept. of Electronic Engineering National Chin-Yi University of Technology Fall 2007 Outline Clocked Flip-flops CMOS

More information

A N. O N Output/Input-output connection

A N. O N Output/Input-output connection Memory Types Two basic types: ROM: Read-only memory RAM: Read-Write memory Four commonly used memories: ROM Flash, EEPROM Static RAM (SRAM) Dynamic RAM (DRAM), SDRAM, RAMBUS, DDR RAM Generic pin configuration:

More information

VHDL-Testbench as Executable Specification

VHDL-Testbench as Executable Specification VHDL- as Executable Specification Michael Pichler Zentrum für Mikroelektronik Aargau Fachhochschule Aargau, Steinackerstrasse 5, CH-5210 Windisch Web: www.zma.ch - E-mail: m.pichler@zma.ch Seite 1 Overview

More information

Digital Circuit Design Using Xilinx ISE Tools

Digital Circuit Design Using Xilinx ISE Tools Digital Circuit Design Using Xilinx ISE Tools Contents 1. Introduction... 1 2. Programmable Logic Device: FPGA... 2 3. Creating a New Project... 2 4. Synthesis and Implementation of the Design... 11 5.

More information

Memory Testing. Memory testing.1

Memory Testing. Memory testing.1 Memory Testing Introduction Memory Architecture & Fault Models Test Algorithms DC / AC / Dynamic Tests Built-in Self Testing Schemes Built-in Self Repair Schemes Memory testing.1 Memory Market Share in

More information

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology Topics of Chapter 5 Sequential Machines Memory elements Memory elements. Basics of sequential machines. Clocking issues. Two-phase clocking. Testing of combinational (Chapter 4) and sequential (Chapter

More information