Feasibility study on polyparylene deposition in a PECVD reactor

Size: px
Start display at page:

Download "Feasibility study on polyparylene deposition in a PECVD reactor"

Transcription

1 Institute of Experimental and Applied Physics Feasibility study on polyparylene deposition in a PECVD reactor E. v. Wahl 1, C Kirchberg 2, M. Fröhlich 3, H. Kersten 1 1 IEAP, Group Plasma Technology, University of Kiel 2 ITAP, University of Kiel 3 INP Greifswald 4 th Graduate Summer Institute ''Complex Plasmas'' August 5 th, 2014 Plasma Technology Erik v. Wahl Plasma Technology August 5 th,

2 outline 1. Introduction to parylene 2. The setup 3. Langmuir probe measurements 4. Electrical measurements 5. REM 6. Contact angle measurements Erik v. Wahl Plasma Technology August 5 th,

3 Parylene Illustrations: SCS Specialty Coating Systems crevice penetrating UV stable Group of polymers properties can be tuned by choosing substituents low permeability to moisture and corrosive gases low permeability to moisture and corrosive gases good temperature stability crevice penetrating high temperature applications long-term UV stability Erik v. Wahl Plasma Technology August 5 th,

4 Parylene properties Illustrations: SCS Specialty Coating Systems transparent hydrophobic low friction coefficient low gas permeability biostable biocompatible high chemical resistivity oxidation resistant up to 350 C / 662 F homogeneous coatings Erik v. Wahl Plasma Technology August 5 th,

5 Parylene Pictures: SCS Specialty Coating Systems Erik v. Wahl Plasma Technology August 5 th,

6 Parylene Group of polymers properties can be tuned by choosing substituents Erik v. Wahl Plasma Technology August 5 th,

7 Conventional deposition process F C the precursor - a dimer < 194 F < 90 C highly reactive monomer parylene C - a linear polymer Erik v. Wahl Plasma Technology August 5 th,

8 PECVD process F C PECVD P < 194 F < 90 C Erik v. Wahl Plasma Technology August 5 th,

9 PECVD process Investigations: analyse deposited films - profilometer measurements - electron microscopy - contact angle measurements F C PECVD P < 194 F < 90 C analyse plasma process - langmuir measurements - electrical measurements Erik v. Wahl Plasma Technology August 5 th,

10 ATILA capacitively coupled rf-discharge evaporator 4 vacuum gauges Erik v. Wahl Plasma Technology August 5 th,

11 ATILA - substrates silicon wafer glas plates metal plates Erik v. Wahl Plasma Technology August 5 th,

12 ATILA silicon wafer holder Erik v. Wahl Plasma Technology August 5 th,

13 substrate positioning a b c d (outside of intense plasma glow) c b Erik v. Wahl Plasma Technology August 5 th,

14 Sublimation of the precursor too cold too warm Erik v. Wahl Plasma Technology August 5 th,

15 profilometer measurements too less precursor: negative step sputtering dominates too much precursor: positive step dust formation (easily removable) Erik v. Wahl Plasma Technology August 5 th,

16 profilometer measurements temperature / C coating thickness / nm observations duration / min P / W ± 4.2 blocked by condensation ± 45.1 dust ± 188 resublimation on substrate before ignition, dust ± 10.7 dust ± 13.2 dust process pressure of 13.6 Pa Erik v. Wahl Plasma Technology August 5 th,

17 langmuir probe measurements probe box pickup-probe for passive rf-compensation ceramic / glas probe tip Erik v. Wahl Plasma Technology August 5 th,

18 langmuir probe measurements U fl = 14,8 V U pl = 35,3 V argon p Baratron = 6.4 Pa P = 10 W V bias = 273 V T e = 2.63 ev n e = m -3 Erik v. Wahl Plasma Technology August 5 th,

19 langmuir probe measurements during deposition process 20 sccm argon, P = 10W, p = 10,5Pa Erik v. Wahl Plasma Technology August 5 th,

20 langmuir probe measurements during deposition process 20 sccm argon, P = 10W, p = 10,5Pa Erik v. Wahl Plasma Technology August 5 th,

21 langmuir probe measurements during deposition process 20 sccm argon, P = 10W, p = 10,5Pa 212 F 100 C Erik v. Wahl Plasma Technology August 5 th,

22 langmuir probe measurements during deposition process probe tip dirty shape of drop at probe tip different kinds of coating Erik v. Wahl Plasma Technology August 5 th,

23 electrical measurements 161 F 212 F 130 F Erik v. Wahl Plasma Technology August 5 th,

24 electrical measurements 161 F 212 F 130 F coating of window increase in resistivity inelastic collisions decrease of n e collisions with particles Erik v. Wahl Plasma Technology August 5 th,

25 electrical measurements 161 F 212 F 130 F Erik v. Wahl Plasma Technology August 5 th,

26 electrical measurements 196 F periodical particle formation 210 F continous particle formaiton? emission intensity also fluctuating resistivity increasing, when V bias decreasing Erik v. Wahl Plasma Technology August 5 th,

27 scanning electron microscopy Erik v. Wahl Plasma Technology August 5 th,

28 Institute of Experimental and Applied Physics, University of Kiel scanning electron microscopy Erik v. Wahl Plasma Technology August 5th,

29 contact angle measurements parylene coating total energy σ total = ± mn/m dispersive energy σ d = 7.35 ± mn/m polar energy σ p = ± mn/m problem: dust changes the surface energy can be used to gain superhydrophoby or superhydropholy Erik v. Wahl Plasma Technology August 5 th,

30 electrical measurements 161 F 212 F 130 F Erik v. Wahl Plasma Technology August 5 th,

31 summary The properties of depositing parylene are strongly dependent on the temperature at which sublimation occurs. Polymerisation took place. Low discharge power is enough to initialize polymerisation. No undesired byproducts / chemical decompounds could be found. Erik v. Wahl Plasma Technology August 5 th,

32 outlook More deposition trials are needed in order to obtain a clean thin film deposition. Contact angle measurements have to be done on samples without dust. Mass spectrometry could give an insight into the chemical reactions. Thank you very much for your attention! Erik v. Wahl Plasma Technology August 5 th,

33 literature [1] Phil Morten Hundt, Diplomarbeit, Spektroskopische Diagnostik an Prozessplasmen, CAU 2009 [2] J. Berndt, E. Kovacevic, I. Stefanovic, O. Stepanovic, S. H. Hong, L. Boufendi and J. Winter, Some Aspects of Reactive Complex Plasmas. Contrib. Plasma Phys., vol. 49, (2009). [3] S. A. Khrapak et al., Phys. Rev. E 72, (2005) [4] Hollenstein, Ch.: The physics and chemistry of dusty plasmas. Plasma Physics and Controlled Fusion, 42:R93 R104, 2000 [5] Bouchoule, A. (Herausgeber): Dusty Plasmas - Physics, Chemistry and Technological Impacts in Plasma Processing. Wiley-VCH Verlag, 1999 [6] Cui, C. und J. Goree: Fluctuations of the charge on a dust grain in a plasma. IEEE Transactions on Plasma Science, 22: , 1994 [7] Patrick Sadler, Diplomarbeit, Partikelbildung in reaktiven Plasmen unter Verwendung kohlenwasserstoffhaltiger bzw. siliziumorganischer Precursoren, CAU 2010 [8] Kortshagen, U. und U. Bhandarkar: Modeling of particulate coagulation in low pressure plasmas. Physical Review E, 60: , 1999 [9] H. Ketelsen, Diplomarbeit, Mie-Ellipsometrie an staubigen Plasmen, CAU 2009 [10] Erik v. Wahl Plasma Technology August 5 th,

34 deposition of parylene in ATILA Erik v. Wahl Plasma Technology August 5 th,

35 langmuir probe measurements power dependence Argon p = 6,4Pa Erik v. Wahl Plasma Technology August 5 th,

36 langmuir probe measurements pressure dependence Argon P = 10W Erik v. Wahl Plasma Technology August 5 th,

37 Die Selfbias-Spannung Plasma zünden Wände werden beschichtet Precursor wird verbraucht, Druck sinkt Erik v. Wahl Plasma Technology August 5 th,

38 Die Selfbias-Spannung aus [A. Keudell, Vorlesungsskript, 2012] V bias ist empfindlicher Indikator für eine Beschichtung der Wände mit einem Dielektrikum Erik v. Wahl Plasma Technology August 5 th,

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons D.Monaghan, V. Bellido-Gonzalez, M. Audronis. B. Daniel Gencoa, Physics Rd, Liverpool, L24 9HP, UK. www.gencoa.com,

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology Precision Fair 2013 Stand 171 Plasma Electronic is Partner of Tailor-Made Surfaces by Plasma Technology Dr. J. Geng, Plasma Electronic GmbH Modern Surface Technology in 1900 Overview A short introduction

More information

Combustion chemical vapor deposition

Combustion chemical vapor deposition V I P Combustion chemical vapor deposition A technology to improve adhesion on surfaces to be coated Volkmar J. Eigenbrod, Christina Hensch, Alexander Kemper Introduction Combustion chemical vapor deposition

More information

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob Chemical Sputtering von Kohlenstoff durch Wasserstoff W. Jacob Centre for Interdisciplinary Plasma Science Max-Planck-Institut für Plasmaphysik, 85748 Garching Content: Definitions: Chemical erosion, physical

More information

1. PECVD in ORGANOSILICON FED PLASMAS

1. PECVD in ORGANOSILICON FED PLASMAS F. FRACASSI Department of Chemistry, University of Bari (Italy) Plasma Solution srl SURFACE MODIFICATION OF POLYMERS AND METALS WITH LOW TEMPERATURE PLASMA OUTLINE METAL TREATMENTS 1 low pressure PECVD

More information

State of the art in reactive magnetron sputtering

State of the art in reactive magnetron sputtering State of the art in reactive magnetron sputtering T. Nyberg, O. Kappertz, T. Kubart and S. Berg Solid State Electronics, The Ångström Laboratory, Uppsala University, Box 534, S-751 21 Uppsala, Sweden D.

More information

Electron Beam and Sputter Deposition Choosing Process Parameters

Electron Beam and Sputter Deposition Choosing Process Parameters Electron Beam and Sputter Deposition Choosing Process Parameters General Introduction The choice of process parameters for any process is determined not only by the physics and/or chemistry of the process,

More information

PLASMA TECHNOLOGY OVERVIEW

PLASMA TECHNOLOGY OVERVIEW PLASMA TECHNOLOGY OVERVIEW Plasmas are not a lab curiosity. Plasma processing has been an essential production tool for more than 30 years in the fabrication of microelectronic devices for example. Over

More information

Vacuum Pumping of Large Vessels and Modelling of Extended UHV Systems

Vacuum Pumping of Large Vessels and Modelling of Extended UHV Systems Vacuum Pumping of Large Vessels and Modelling of Extended UHV Systems Georgy L. Saksaganski D.V. Efremov Institute, St Petersburg, Russia gruss@niiefa.spb.su An overview of the methods for reducing of

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Plasma Cleaner: Physics of Plasma

Plasma Cleaner: Physics of Plasma Plasma Cleaner: Physics of Plasma Nature of Plasma A plasma is a partially ionized gas consisting of electrons, ions and neutral atoms or molecules The plasma electrons are at a much higher temperatures

More information

How To Make A Plasma Control System

How To Make A Plasma Control System XXII. Erfahrungsaustausch Mühlleiten 2015 Plasmaanalyse und Prozessoptimierung mittels spektroskopischem Plasmamonitoring in industriellen Anwendungen Swen Marke,, Lichtenau Thomas Schütte, Plasus GmbH,

More information

SALES SPECIFICATION. SC7640 Auto/Manual High Resolution Sputter Coater

SALES SPECIFICATION. SC7640 Auto/Manual High Resolution Sputter Coater SALES SPECIFICATION SC7640 Auto/Manual High Resolution Sputter Coater Document Number SS-SC7640 Issue 1 (01/02) Disclaimer The components and packages described in this document are mutually compatible

More information

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators Dr Peter Hockley and Professor Mike Thwaites, Plasma Quest Limited

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Surface activation of plastics by plasma for adhesion promotion

Surface activation of plastics by plasma for adhesion promotion Surface activation of plastics by plasma for adhesion promotion Uwe Stöhr, Ph. D. 1 Introduction In many fields a good adhesion between two materials is necessary. The adhesion should exist at the whole

More information

and LUMINOUS CHEMICAL VAPOR DEPOSITION INTERFACE ENGINEERING HirotsuguYasuda University of Missouri-Columbia Columbia, Missouri, U.S.A.

and LUMINOUS CHEMICAL VAPOR DEPOSITION INTERFACE ENGINEERING HirotsuguYasuda University of Missouri-Columbia Columbia, Missouri, U.S.A. LUMINOUS CHEMICAL VAPOR DEPOSITION and INTERFACE ENGINEERING HirotsuguYasuda University of Missouri-Columbia Columbia, Missouri, U.S.A. MARCEL MARCEL DEKKER. NEW YORK DEKKER Contents Preface iii Part I.

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications

Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications Saulius Marcinkevičius Optics, ICT, KTH 1 Outline Optical near field. Principle of scanning near field optical microscope

More information

Laser beam sintering of coatings and structures

Laser beam sintering of coatings and structures Laser beam sintering of coatings and structures Anne- Maria Reinecke, Peter Regenfuß, Maren Nieher, Sascha Klötzer, Robby Ebert, Horst Exner Laserinstitut Mittelsachsen e.v. an der Hochschule Mittweida,

More information

... complement Information for Processing

... complement Information for Processing AZ nlof 2xx Negative Resist... complement Information for Processing revised 25--7 General Information AZ nlof 2xx is a family of negative s, with the exposed remaining on the substrate after development.

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

Introduction to Thin Film Technology LOT. Chair of Surface and Materials Technology

Introduction to Thin Film Technology LOT. Chair of Surface and Materials Technology Introduction to Thin Film Introduction to Thin Film Verfahrenstechnik der Oberflächenmodifikationen Prof. Dr. Xin Jiang Lecture Institut für Werkstofftechnik der Uni-Siegen Sommersemester 2007 Introduction

More information

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology General Plasma, Inc. 546 East 25th Street Tucson, Arizona 85713 tel. 520-882-5100 fax. 520-882-5165 and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology M.

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Pulsed laser deposition of organic materials

Pulsed laser deposition of organic materials Pulsed laser deposition of organic materials PhD theses Gabriella Kecskeméti Department of Optics and Quantum Electronics University of Szeged Supervisor: Dr. Béla Hopp senior research fellow Department

More information

Deposition of Thin Metal Films " (on Polymer Substrates)!

Deposition of Thin Metal Films  (on Polymer Substrates)! Deposition of Thin Metal Films " (on Polymer Substrates)! Shefford P. Baker! Cornell University! Department of Materials Science and Engineering! Ithaca, New York, 14853! MS&E 5420 Flexible Electronics,

More information

This paper describes Digital Equipment Corporation Semiconductor Division s

This paper describes Digital Equipment Corporation Semiconductor Division s WHITEPAPER By Edd Hanson and Heather Benson-Woodward of Digital Semiconductor Michael Bonner of Advanced Energy Industries, Inc. This paper describes Digital Equipment Corporation Semiconductor Division

More information

White Paper. Moisture in Hermetic Packages By Craig Hillman, PhD

White Paper. Moisture in Hermetic Packages By Craig Hillman, PhD White Paper Moisture in Hermetic Packages By Craig Hillman, PhD Moisture in Hermetic Packages Hermetic packaging of micro-electronic and opto-electronic devices is commonly utilized to protect the devices

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

OLED - Technologie der Zukunft

OLED - Technologie der Zukunft OLED - Technologie der Zukunft Dr. Manfred Weigand MERCK KGaA, Darmstadt, Germany Cleanzone 2014 Cathode Ray Tube (CRT) Source: Peter Littmann Source: Patrick Schindler Source: Bundesarchiv, Bild 183-H0812-0031-001

More information

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS Vojtěch SVATOŠ 1, Jana DRBOHLAVOVÁ 1, Marian MÁRIK 1, Jan PEKÁREK 1, Jana CHOMOCKÁ 1,

More information

How compact discs are made

How compact discs are made How compact discs are made Explained by a layman for the laymen By Kevin McCormick For Science project at the Mountain View Los Altos High School Abstract As the major media for music distribution for

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Technical Synopsis of Plasma Surface Treatments

Technical Synopsis of Plasma Surface Treatments Technical Synopsis of Plasma Surface Treatments Wesley Taylor Advisor: Dr. Bruce Welt University of Florida, Gainesville, FL December, 2009 Abstract Surface treatment technology delves into some of the

More information

Chapter 5 - Aircraft Welding

Chapter 5 - Aircraft Welding Chapter 5 - Aircraft Welding Chapter 5 Section A Study Aid Questions Fill in the Blanks 1. There are 3 types of welding:, and, welding. 2. The oxy acetylene flame, with a temperature of Fahrenheit is produced

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Electrophoretic Gold Nanoparticles Depostion On Carbon Nanotubes For NO 2 Sensors

Electrophoretic Gold Nanoparticles Depostion On Carbon Nanotubes For NO 2 Sensors European Network on New Sensing Technologies for Air Pollution Control and Environmental Sustainability - EuNetAir COST Action TD1105 2 nd International Workshop EuNetAir on New Sensing Technologies for

More information

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Hannu Teisala a, Mikko Tuominen a, Mikko Aromaa b, Jyrki M. Mäkelä b, Milena Stepien c, Jarkko J. Saarinen c, Martti Toivakka c

More information

bulk 5. Surface Analysis Why surface Analysis? Introduction Methods: XPS, AES, RBS

bulk 5. Surface Analysis Why surface Analysis? Introduction Methods: XPS, AES, RBS 5. Surface Analysis Introduction Methods: XPS, AES, RBS Autumn 2011 Experimental Methods in Physics Marco Cantoni Why surface Analysis? Bulk: structural function Electrical/thermal conduction Volume increases

More information

Light metal corrosion protection with water-borne silane systems

Light metal corrosion protection with water-borne silane systems Platzhalter Titelbild Light metal corrosion protection with water-borne silane systems Dr. Philipp Albert 14.06.2011 Agenda 1. lanes, hydrolysis and condensation, sol-gel process 2. Water-borne sol-gel

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

NANO SILICON DOTS EMBEDDED SIO 2 /SIO 2 MULTILAYERS FOR PV HIGH EFFICIENCY APPLICATION

NANO SILICON DOTS EMBEDDED SIO 2 /SIO 2 MULTILAYERS FOR PV HIGH EFFICIENCY APPLICATION NANO SILICON DOTS EMBEDDED SIO 2 /SIO 2 MULTILAYERS FOR PV HIGH EFFICIENCY APPLICATION Olivier Palais, Damien Barakel, David Maestre, Fabrice Gourbilleau and Marcel Pasquinelli 1 Outline Photovoltaic today

More information

Machbarkeitsstudie zu geeigneten Kandidaten für f r die Herstellung von CRM für r die Bestimmung von Wasserstoff in Festkörpern

Machbarkeitsstudie zu geeigneten Kandidaten für f r die Herstellung von CRM für r die Bestimmung von Wasserstoff in Festkörpern BAM mission: Safety in technology and chemistry Machbarkeitsstudie zu geeigneten Kandidaten für f r die erstellung von CRM für r die Bestimmung von Wasserstoff in Festkörpern V.-D. odoroaba, a D. Klemm,

More information

CAPITOLO III MATERIALI ASSEMBLATI E AUTOASSEMBLATI. Photonics and Biophotonics Organics Synthesis - PhoBOS

CAPITOLO III MATERIALI ASSEMBLATI E AUTOASSEMBLATI. Photonics and Biophotonics Organics Synthesis - PhoBOS CAPITOLO III MATERIALI ASSEMBLATI E AUTOASSEMBLATI 1 Outline and motivation SA mono and multilayers on silica and silicon native oxide The chemistry of the process the effect of moisture the effect of

More information

Direct Energy Influx Measurements. in Low Pressure Plasma Processes

Direct Energy Influx Measurements. in Low Pressure Plasma Processes Direct Energy Influx Measurements in Low Pressure Plasma Processes A.L. Thomann, GREMI Orléans R. Dussart, N. Semmar, J. Mathias, T. Lecas L. Bedra, P.A. Cormier, V. Dolique Outline I. Introduction: Why

More information

Reactive Sputtering Using a Dual-Anode Magnetron System

Reactive Sputtering Using a Dual-Anode Magnetron System Reactive Sputtering Using a Dual-Anode Magnetron System A. Belkind and Z. Zhao, Stevens Institute of Technology, Hoboken, NJ; and D. Carter, G. McDonough, G. Roche, and R. Scholl, Advanced Energy Industries,

More information

Effect of UV-wavelength on Hardening Process of PECVD Glasses

Effect of UV-wavelength on Hardening Process of PECVD Glasses Effect of UV-wavelength on Hardening Process of Porogen-containing and Porogen-free Ultra-low-k PECVD Glasses A.M. Urbanowicz*, K. Vanstreels, P. Verdonck, E. Van Besien, Ch. Trompoukis, D. Shamiryan,

More information

WHITEPAPER ENHANCED REACTIVELY SPUTTERED AL 2 O 3 DEPOSITION BY ADDITION OF ACTIVATED REACTIVE OXYGEN

WHITEPAPER ENHANCED REACTIVELY SPUTTERED AL 2 O 3 DEPOSITION BY ADDITION OF ACTIVATED REACTIVE OXYGEN WHITEPAPER By D. Carter and G. McDonough of Advanced Energy Industries, Inc. ENHANCED REACTIVELY The impact of preactivation of oxygen in the reactive sputter deposition of Al 2 O 3 is investigated. Oxygen,

More information

CHEMISTRY 113 EXAM 4(A)

CHEMISTRY 113 EXAM 4(A) Summer 2003 1. The molecular geometry of PF 4 + ion is: A. bent B. trigonal planar C. tetrahedral D. octahedral CHEMISTRY 113 EXAM 4(A) 2. The Cl-C-Cl bond angle in CCl 2 O molecule (C is the central atom)

More information

Ultra-high Barrier Plastic. MSE5420 Flexible Electronics Martin Yan, GE Global Research

Ultra-high Barrier Plastic. MSE5420 Flexible Electronics Martin Yan, GE Global Research Ultra-high Barrier Plastic MSE5420 Flexible Electronics Martin Yan, GE Global Research Outline Introduction to plastic substrate and need for barrier Barrier technologies WVTR measurement technologies

More information

Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014

Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014 Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014 Introduction Following our previous lab exercises, you now have the skills and understanding to control

More information

Materials for the production of primary aluminium

Materials for the production of primary aluminium ISO Standards collection Materials for the production of primary aluminium Contents ISO 802:1976 Aluminium oxide primarily used for the production of aluminium Preparation and storage of test samples ISO

More information

BAL-TEC SCD 005. Scientist in charge: Lhoussaine Belkoura 07/14/06. softcomp soft matter composites 1

BAL-TEC SCD 005. Scientist in charge: Lhoussaine Belkoura 07/14/06. softcomp soft matter composites 1 07/14/06 softcomp soft matter composites 1 BAL-TEC SCD 005 Institution: University of Cologne Scientist in charge: Lhoussaine Belkoura SCD 005 Cool Sputter Coater 26.02.99 Internet: www.bal-tec.com & 1

More information

STATIC COEFFICIENT OF FRICTION MEASUREMENT USING TRIBOMETER. Static COF 0.00 0.0 0.5 1.0 1.5 2.0. Time(min) Prepared by Duanjie Li, PhD

STATIC COEFFICIENT OF FRICTION MEASUREMENT USING TRIBOMETER. Static COF 0.00 0.0 0.5 1.0 1.5 2.0. Time(min) Prepared by Duanjie Li, PhD STATIC COEFFICIENT OF FRICTION MEASUREMENT USING TRIBOMETER 0.20 Static COF Coefficient of Friction 0.15 0.10 0.05 0.00 0.0 0.5 1.0 1.5 2.0 Time(min) Prepared by Duanjie Li, PhD 6 Morgan, Ste156, Irvine

More information

Development of High-Speed High-Precision Cooling Plate

Development of High-Speed High-Precision Cooling Plate Hironori Akiba Satoshi Fukuhara Ken-ichi Bandou Hidetoshi Fukuda As the thinning of semiconductor device progresses more remarkably than before, uniformity within silicon wafer comes to be strongly required

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

Laser sintering of greens compacts of MoSi 2

Laser sintering of greens compacts of MoSi 2 Laser sintering of greens compacts of MoSi 2 G. de Vasconcelos 1, R. Cesar Maia 2, C.A.A.Cairo 3, R. Riva 2, N.A.S.Rodrigues 2, F.C.L.Mello 3 Instituto de Estudos Avançados 1, Instituto Tecnológico de

More information

EASIDEW PORTABLE HYGROMETER INSTALLATION, OPERATION AND MAINTENANCE MANUAL

EASIDEW PORTABLE HYGROMETER INSTALLATION, OPERATION AND MAINTENANCE MANUAL EASIDEW PORTABLE HYGROMETER INSTALLATION, OPERATION AND MAINTENANCE MANUAL Issue February 2004 2 TABLE OF CONTENTS SECTION PAGE 1. INTRODUCTION 3 1.1 General 3 1.2 Ceramic Sensing Element 3 1.3 Calibration

More information

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include:

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include: CVD SILICON CARBIDE CVD SILICON CARBIDE is the ideal performance material for design engineers. It outperforms conventional forms of silicon carbide, as well as other ceramics, quartz, and metals in chemical

More information

Electron Beam Technology for Pressure Sensitive Adhesive Applications

Electron Beam Technology for Pressure Sensitive Adhesive Applications Electron Beam Technology for Pressure Sensitive Adhesive Applications Introduction Stephen C. Lapin, Ph.D. PCT Engineered Systems LLC Davenport, IA, USA Initial reports on the use of ultraviolet (UV) and

More information

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z The ALD Powerhouse Picosun Defining the future of ALD Picosun s history and background date back to the very beginning of the field of atomic layer deposition. ALD was invented in Finland in 1974 by Dr.

More information

Assignment 8: Comparison of gasification, pyrolysis and combustion

Assignment 8: Comparison of gasification, pyrolysis and combustion AALTO UNIVERSITY SCHOOL OF CHEMICAL TECHNOLOGY KE-40.4120 Introduction to biorefineries and biofuels Assignment 8: Comparison of gasification, pyrolysis and combustion Aino Siirala 309141 Assignment submitted

More information

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius JePPIX Course Processing Wet and dry etching processes Huub Ambrosius Material removal: etching processes Etching is done either in dry or wet methods: Wet etching uses liquid etchants with wafers immersed

More information

OLED display. Ying Cao

OLED display. Ying Cao OLED display Ying Cao Outline OLED basics OLED display A novel method of fabrication of flexible OLED display Potentials of OLED Suitable for thin, lightweight, printable displays Broad color range Good

More information

Scanning Electron Microscopy Services for Pharmaceutical Manufacturers

Scanning Electron Microscopy Services for Pharmaceutical Manufacturers Scanning Electron Microscopy Services for Pharmaceutical Manufacturers Author: Gary Brake, Marketing Manager Date: August 1, 2013 Analytical Testing Laboratory www.atl.semtechsolutions.com Scanning Electron

More information

Keywords: Planar waveguides, sol-gel technology, transmission electron microscopy

Keywords: Planar waveguides, sol-gel technology, transmission electron microscopy Structural and optical characterisation of planar waveguides obtained via Sol-Gel F. Rey-García, C. Gómez-Reino, M.T. Flores-Arias, G.F. De La Fuente, W. Assenmacher, W. Mader ABSTRACT Planar waveguides

More information

AC coupled pitch adapters for silicon strip detectors

AC coupled pitch adapters for silicon strip detectors AC coupled pitch adapters for silicon strip detectors J. Härkönen1), E. Tuovinen1), P. Luukka1), T. Mäenpää1), E. Tuovinen1), E. Tuominen1), Y. Gotra2), L. Spiegel2) Helsinki Institute of Physics, Finland

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

Decorative vacuum coating technologies 30.05.2014 Certottica Longarone. Thin Film Plasma Coating Technologies

Decorative vacuum coating technologies 30.05.2014 Certottica Longarone. Thin Film Plasma Coating Technologies Dr. Stefan Schlichtherle Dr. Georg Strauss PhysTech Coating Technology GmbH Decorative vacuum coating technologies 30.05.2014 Certottica Longarone Thin Film Plasma Coating Technologies Content The fascination

More information

- particle with kinetic energy E strikes a barrier with height U 0 > E and width L. - classically the particle cannot overcome the barrier

- particle with kinetic energy E strikes a barrier with height U 0 > E and width L. - classically the particle cannot overcome the barrier Tunnel Effect: - particle with kinetic energy E strikes a barrier with height U 0 > E and width L - classically the particle cannot overcome the barrier - quantum mechanically the particle can penetrated

More information

States of Matter CHAPTER 10 REVIEW SECTION 1. Name Date Class. Answer the following questions in the space provided.

States of Matter CHAPTER 10 REVIEW SECTION 1. Name Date Class. Answer the following questions in the space provided. CHAPTER 10 REVIEW States of Matter SECTION 1 SHORT ANSWER Answer the following questions in the space provided. 1. Identify whether the descriptions below describe an ideal gas or a real gas. ideal gas

More information

HPPMS/DC-MSIP (Cr,Al,V)N and (Cr,Al,W)N Thin Films for High Temperature Application

HPPMS/DC-MSIP (Cr,Al,V)N and (Cr,Al,W)N Thin Films for High Temperature Application HPPMS/DC-MSIP (Cr,Al,V)N and (Cr,Al,W)N Thin Films for High Temperature Application Sebastian Theiß K. Bobzin, N. Bagcivan, M. Ewering, R. H. Brugnara April 23, 21 HPPMS/HiPIMS/MPP Workshop, Golden Outline

More information

North American Stainless

North American Stainless North American Stainless Long Products Stainless Steel Grade Sheet 2205 UNS S2205 EN 1.4462 2304 UNS S2304 EN 1.4362 INTRODUCTION Types 2205 and 2304 are duplex stainless steel grades with a microstructure,

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Study Plan for The Master Degree In Industrial Engineering / Design and Manufacturing. (Thesis Track)

Study Plan for The Master Degree In Industrial Engineering / Design and Manufacturing. (Thesis Track) Study Plan for The Master Degree In Industrial Engineering / Design and Manufacturing (Thesis Track) Plan no. 2005 T A. GENERAL RULES AND CONDITIONS: 1. This plan conforms with the regulations of the general

More information

Coating Thickness and Composition Analysis by Micro-EDXRF

Coating Thickness and Composition Analysis by Micro-EDXRF Application Note: XRF Coating Thickness and Composition Analysis by Micro-EDXRF www.edax.com Coating Thickness and Composition Analysis by Micro-EDXRF Introduction: The use of coatings in the modern manufacturing

More information

A Study of Matter. Video Notes

A Study of Matter. Video Notes A Study of Matter Video Notes In this lesson you will: Define physical property, chemical property and chemical change. Describe the phases of matter. Label properties as physical or chemical. Label changes

More information

Barrier Coatings: Conversion and Production Status

Barrier Coatings: Conversion and Production Status Transparent SiO 2 Barrier Coatings: Conversion and Production Status E. Finson and J. Felts, Airco Coating Technology, Concord, CA Keywords: Permeation barrier coatings; Reactive evaporation; SiO 2 ABSTRACT

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 16, No. 2, pp. 90-94, April 25, 2015 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2015.16.2.90 OAK Central:

More information

Nano Materials Synthesis, Characterisation and Properties

Nano Materials Synthesis, Characterisation and Properties Nano Materials Synthesis, Characterisation and Properties Prof. Dr. Cordt Zollfrank Wissenschaftszentrum Weihenstephan Wissenschaftszentrum Straubing Prof. Dr. Johann Plank/ Department Chemie Technische

More information

BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS

BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS Trends Biomater. Artif. Organs. Vol. 17(2) pp 43-47 (2004) http//www.sbaoi.org BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS N. Ramesh Babu*,+, Sushant Manwatkar*, K. Prasada Rao* and T. S. Sampath

More information

STM, LEED and Mass spectrometry

STM, LEED and Mass spectrometry STM, LEED and Mass spectrometry R. Schloderer, S. Griessl, J. Freund, M. Edelwirth, W.M. Heckl Introduction TDS UHV technique Preparation STM LEED QMS Concept of new UHV chamber Conclusion P. Cole, M.

More information

Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition

Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition Applied Surface Science 186 2002) 453±457 Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition Lianne M. Doeswijk a,*, Hugo H.C. de Moor b, Horst Rogalla a, Dave

More information

2.500 Desalination and Water Purification

2.500 Desalination and Water Purification MIT OpenCourseWare http://ocw.mit.edu 2.500 Desalination and Water Purification Spring 2009 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/terms. Institut für

More information

Coating of TiO 2 nanoparticles on the plasma activated polypropylene fibers

Coating of TiO 2 nanoparticles on the plasma activated polypropylene fibers Coating of TiO 2 nanoparticles on the plasma activated polypropylene fibers Renáta Szabová*, Ľudmila Černáková, Magdaléna Wolfová, Mirko Černák a Department of Plastics and Rubber, Institute of Polymer

More information

Investigation of the Optical Properties of Liquid Deposition CuSO 4 Thin Film

Investigation of the Optical Properties of Liquid Deposition CuSO 4 Thin Film 015 IJSRST Volume 1 Issue 5 Print ISSN: 395-6011 Online ISSN: 395-60X Themed Section: Science and Technology Investigation of the Optical Properties of Liquid Deposition CuSO 4 Thin Film Nafie A. Almuslet

More information

Fabrication and Characterization of Schottky Diode

Fabrication and Characterization of Schottky Diode Fabrication and Characterization of Schottky Diode Arnab Dhabal Acknowledgements I would like to express my greatest gratitude to the people who have helped and supported me in this project. I wish to

More information

Oberflächenbearbeitung durch reaktive Ionenstrahlen

Oberflächenbearbeitung durch reaktive Ionenstrahlen Oberflächenbearbeitung durch reaktive Ionenstrahlen André Mießler, Thomas Arnold Leibniz-Institut für Oberflächenmodifizierung e. V. Permoserstr. 15, D-04318 Leipzig andre.miessler@iom-leipzig.de www.iom-leipzig.de

More information

8001782 Owner s Manual

8001782 Owner s Manual 8001782 Digital Infrared Thermometer Owner s Manual Introduction This instrument is a portable, easy to use compact-size digital thermometer with laser sighting designed for one hand operation. The meter

More information

JOURNAL INTEGRATED CIRCUITS AND SYSTEMS, VOL 1, NO. 3, JULY 2006. 39

JOURNAL INTEGRATED CIRCUITS AND SYSTEMS, VOL 1, NO. 3, JULY 2006. 39 JOURNAL INTEGRATED CIRCUITS AND SYSTEMS, VOL 1, NO. 3, JULY 2006. 39 Self-Assembled Polystyrene Micro-Spheres Applied for Photonic Crystals and Templates Fabrication Daniel S. Raimundo 1, Francisco J.

More information

Flexible Mehrlagen-Schaltungen in Dünnschichttechnik:

Flexible Mehrlagen-Schaltungen in Dünnschichttechnik: Flexible Mehrlagen-Schaltungen in Dünnschichttechnik: Technologie-Plattform für Intelligente Implantate A. Kaiser, S. Löffler, K. Rueß, P. Matej, C. Herbort, B. Holl, G. Bauböck Cicor Advanced Microelectronics

More information

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 8: Microscopy and Imaging

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 8: Microscopy and Imaging CSCI 4974 / 6974 Hardware Reverse Engineering Lecture 8: Microscopy and Imaging Data Acquisition for RE Microscopy Imaging Registration and stitching Microscopy Optical Electron Scanning Transmission Scanning

More information