High Speed Inter-chip Signaling in CMOS

Size: px
Start display at page:

Download "High Speed Inter-chip Signaling in CMOS"

Transcription

1 High Speed Inter-chip Signaling in CMOS Area Exam Jim Goodman May 5, 2000

2 The Problem Moore s Law and Rent s Rule Speed & functionality double every 18 months Pin bandwidth not growing as quickly [Dally97] Np = K p Ng β = 7 Ng 0.2 High speed communications require Gb/s High Speed Inter-chip Signaling in CMOS 2/31

3 Paper Selection & Overview A 700 Mb/s/pin CMOS Signaling Interface Using Current Integrating Receivers [Sidiropoulos97] A 0.5 µm CMOS 4-Gbit/s Serial Link Transceiver with Data Recovery Using Oversampling [Yang98] A 900 Mb/s Bidirectional Signaling Scheme [Mooney95] A 0.4µm CMOS 10-Gb/s 4-PAM Pre-Emphasis Serial Link Transmitter [Farjad-Rad99] High Speed Inter-chip Signaling in CMOS 3/31

4 High Speed Signaling Overview binary data stream Tx termination Transmission Medium termination Rx binary data stream bits voltages voltages voltages voltages bits Serial links speed is everything timing information embedded in signal stream willing to tolerate latency, area, and power Parallel links minimal overhead bundled timing information High Speed Inter-chip Signaling in CMOS 4/31

5 Transmission Lines & Termination Z 0 (ω) Very high edge rates and frequencies lumped impedance models become distributive x Rdx Ldx Cdx Gdx x+dx Z 0 ( ω) = R + jωl G+ jωc Impedance discontinuities create reflections use termination to eliminate Z 0 I f I r Z 1 Z 0 I r = I Z 1 + Z 0 f Parallel and series terminations Z 1 L --- C High Speed Inter-chip Signaling in CMOS 5/31

6 Terminating the Termination Discussion... On vs. off chip termination off-chip components more precise off-chip leaves unterminated stub of package Active termination (e.g., [DeHon93]) requires calibration phase additional area/power/complexity much more accurate than passive termination term 3 term 2 term 1 term 0 1x 2x 4x 8x R on R equiv = term 3:0 R on High Speed Inter-chip Signaling in CMOS 6/31

7 Signaling Modes Voltage mode (VM) low impedance data data R term out Current mode (CM) high impedance data R term R term out out data Advantages Disadvantages VM CM No static power dissipation Less power than CM Simple driver structures Good supply noise immunity Current summing is very simple (and useful!) Vulnerable to supply noise Series termination slow (multiple time of flights) Requires parallel termination Dissipates static power Requires source termination High Speed Inter-chip Signaling in CMOS 7/31

8 Signaling Schemes How is reference provided to the Receiver? Single-ended minimum # of wires VERY susceptible to noise d in ref d out Differential very good noise immunity twice as many wires d in d out Pseudo-differential good noise immunity wiring overhead amortized d in,0 d in,n-1... ref dout,0 d out,n-1 High Speed Inter-chip Signaling in CMOS 8/31

9 Bidirectional Signaling {a i } Tx Tx {b i } Z 0 {b i } Rx Rx {a i } Tx Tx Z 0 Z 0 Fully-duplexed communication halves the number of wires Cancellation of Tx signal is difficult must terminate line at both ends jitter, skew, noise all affect cancelation slower than two equivalent unidirectional links High Speed Inter-chip Signaling in CMOS 9/31

10 Noise and Inter-Symbol Interference (ISI) Proportional vs. Fixed Sources of Noise V N = V fixed + k prop V swing fixed sources overcome with increased SNR proportional sources require careful design to manage ISI makes it difficult to correctly decode channel memory coupling effects between channels High Speed Inter-chip Signaling in CMOS 10/31

11 Basic Transmitter Design bit stream Transmitter Encoder Synchronizer Pre-driver Tx TxClk termination Translate bitstream into voltage pulses perform channel coding (e.g., ECC) encode timing information (e.g., 8b/10b code) Bandwidth limited signaling finite slew rate: low swing signaling low swing signaling lowers power/noise Reference generation (pseudo-differential) High Speed Inter-chip Signaling in CMOS 11/31

12 Transmitter Multiplexing Combine N slow streams into one fast stream f select = N f in N input streams at f in... f out = N f in RC of multiplexor output limits speed place at Tx output out out out d 0 /d 0 Φ 0 Φ 1 d 1 /d 1 Φ 0 d 0 d 1 d 0 d 0 d 1 d 1 Φ 1 High Speed Inter-chip Signaling in CMOS 12/31

13 Improved Transmitter Multiplexing Multiplexor pulse width may limit performance use overlapping clocks to qualify output d clk Φ 0 1 q out out 1 Φ 1 out d 0 /d 0 d 1 /d 1 Φ 0 d 0 d 1 d 0 d 0 d 1 d 1 Φ 2 Φ 2 Φ 1 Φ 1 Φ 1 Φ 2 Requires finely-spaced, well controlled clocks low jitter PLL s and clock drivers High Speed Inter-chip Signaling in CMOS 13/31

14 Basic Receiver Design refclk TxClk Synchronizer (PLL/DLL) RxClk S/H Regenerative Amplifier received bitstream Clocked Comparator Performance limited by clocks & comparator low jitter PLL/DLL (t jitter ) fast comparator w/small aperature (t aperture ) t bit Comparator Offset Reduced Eye Height t jitter t aperture t jitter High Speed Inter-chip Signaling in CMOS 14/31

15 Receiver Demultiplexing Relaxes timing constraints of comparator still require small aperture time Φ 0 in d0 d1 d2 d3 d4 d5 d6 Comp out 0 Φ 0 Φ 1 Φ 1 in C in = 4C comp Comp Comp Comp Φ 2 Φ 3 out 1 out 2 out 3 Φ 2 Φ 3 out 0 out 1 out 2 out 3 d0 d1 d2 d3 d4 d5 d6 Requires finely-spaced, well-controlled clocks Increased input capacitance may limit performance, introduce ISI High Speed Inter-chip Signaling in CMOS 15/31

16 Synchronization & Clock Phase Generation PLL vs. DLL DLL is unconditionally stable, no phase acc. PLL can generate frequency multiples Phase generation tapped ring oscillator or delay line phase interpolation (~2% error [Yang98]) V ctrl φ interpolator φ interpolator φ interpolator φ interpolator Φ 7 Φ 1 Φ 3 Φ 5 Φ 0 Φ 2 Φ 4 Φ 6 High Speed Inter-chip Signaling in CMOS 16/31

17 Paper #1: [Sidiropoulos97] 1V local clk clk data 0 dt DLL sample clk datap 0 data n dt dt datan 0... datap n-1 1V dt datan n-1 V ref 700 BER < 10-14, V dd = 3.3V Series terminated VM External 1V supply Pseudo-differential 2:1 Tx multiplexor 300mW 3.3V DLL-based synchronization Low jitter ECL local Rx clk 2:1 Rx demultiplexor Duty cycle adjustor High Speed Inter-chip Signaling in CMOS 17/31

18 Current Integrating Receivers Single sample/bit susceptible to noise events low pass filter noise using current integration noise event must be longer than t bit /2 Integrate V = V data,i - V ref over entire bit time sign of result gives symbol (+ve = 1, -ve = 0) Integrate using current steering to dump charge on matched capacitors Φ C C Φ V out V High Speed Inter-chip Signaling in CMOS 18/31

19 Current Integrating Receiver Problems... Integrating over periods of low SNR lowers average SNR reducing t int equivalent to conventional methods t int t int t jitter/skew high SNR Previous symbol contribution Jitter introduces ISI integration includes previous/next symbol Approximates matched filtering as integrator impulse response matches wideband pulse shape High Speed Inter-chip Signaling in CMOS 19/31

20 Paper #2: [Yang98] Conventional data recovery utilizes PLL slow response leads to jitter accumulation Oversampling data recovery via phase picking feedforward so it is fast and can track jitter improves performance 4 BER < 10-14, V dd = 3.3V Parallel terminated CM 170 mv diff. swing Fully differential 8:1 Tx multiplexor PLL-based synchronization 3x oversampling of Rx data Phase picking decoder 1:8 Rx demux (+ 1:2 logic) V V High Speed Inter-chip Signaling in CMOS 20/31

21 Oversampling Data Recovery clk ref Clock Generator sclk 23:0 Phase Picking Decoder Phase Detect/Filter sample select data data in Multi-phase Data Receiver/Sampler Delay data out transition best sample transition 3x oversampling of each bit 24 equally-spaced clock phases requires finely-spaced, well-controlled clocks Use pairwise XOR to find transitions Look for equally spaced transitions across 3 byte sliding window choose sample furthest away from transition High Speed Inter-chip Signaling in CMOS 21/31

22 Oversampled Data Recovery Problems... Phase error due to quantization SNR penalty offsets benefits of fast jitter tracking Large area/power/latency overhead ~100% area penalty? ~33% of system power is decision logic! still need good Rx PLL to generate phases Authors ultimately admit PLL design is better approach limited to high jitter environments basically, the worse your PLL, the better it is! High Speed Inter-chip Signaling in CMOS 22/31

23 Paper #3: Bidirectional VM-based Signaling da in Z 0 Z 0 Z 0 db in instantiated 16 times V rx,b instantiated 16 times db out da out V ref,b Vref H = 3/4 V dd Vref L = 1/4 V dd z 0 z 0 Vref H = 3/4 V dd Vref L = 1/4 V dd da in,db in V rx,b V ref,b V rx,b - V ref,b da out /4V dd -1/4V dd /2V dd 3/4V dd -1/4V dd /2V dd 1/4V dd 1/4V dd V dd 3/4V dd 1/4V dd 1 High Speed Inter-chip Signaling in CMOS 23/31

24 Notes & Issues (where to begin...) Very few details given (in particular no # s)... Self-series terminating drivers eliminates additional power dissipation in R term Authors completely ignored dynamic power given large swing (> 3V?), it s significant no mention of static power in references either Very sensitive to mismatches local supply voltage used as reference driver impedances (sets middle reference) utilizes adaptive impedance control to offset High Speed Inter-chip Signaling in CMOS 24/31

25 Paper #4: [Farjad-Rad99] Attenuation of high frequencies in wires dielectric absorption skin effect: R f f s introduces ISI, limits performance [Dally97] Compensate for channel response using Tx/Rx equalization attenuate low frequencies lowers SNR, opens eye [Farjad-Rad99] High Speed Inter-chip Signaling in CMOS 25/31

26 Transmitter Pre-distortion & 4-PAM Utilize multi-level signaling to increase bit/sym 4-PAM: 2 bits/symbol 2-bit DAC to generate signals 2-bit ADC to resolve signals (not described...) Current mode DAC binary-weighted current sources current summing output combines sources Implement 3-tap Tx FIR to compensate channel exploit current summing to simplify circuit can t do equalization in the receiver... yet! High Speed Inter-chip Signaling in CMOS 26/31

27 [Farjad-Rad99] Transmitter Design shunt peaking bondwire inductor R term Dout/Dout Φ i = Clk i /Clk i+1 Dout/Dout D 0 /D 0 Clk i /Clk i+1 D 1 /D 1 1X 2X D/D 1:0 Φ 0 Φ 1 Φ 2 Φ 3 DAC MAIN 200ps DAC FIR1 200ps DAC FIR2 200ps DAC FIR3 Φ 1:4 Φ 2:5 Driver #2 Driver #3 Φ 3:6 Φ 4:7 Driver #4 D/D 3:2 D/D 5:4 D/D 7:6 D/D 9:8 Driver #5 Switched current steering logic Driver #1 5:1 Tx multiplexor 4 branches per leg external Iref s for FIR 3.3V Iref 1 Iref 2 Iref 3 8 clocks + complements 5 Gsymbols/s, 10 Gb/s duty cycle adjustment Large output capacitance 20 branches at output! (1.4 pf, 35 ps) utilize shunt peaking to extend bandwidth (1.8x) High Speed Inter-chip Signaling in CMOS 27/31

28 Discussion No one paper addresses all aspects of the system all systems have their problems [Sidiropoulos97] most efficient (101 pj/bit) serial links only 3-4x more energy per bit Proper choice depends on requirements solution for every situation Signalling at 1-5 Gb/s appears straightforward differential current-mode signalling on-chip adaptive termination hard decision decoders low jitter PLL/DLL and VCO High Speed Inter-chip Signaling in CMOS 28/31

29 Future Directions & Open Problems Next level requires careful consideration of the channel limitations frequency dependant attenuation some form of equalization required Synchronization requirements are likely to be the biggest implementation problem reduce symbol rate to relax time constraints increase bits/symbol to restore bandwidth Use bandwidth more efficiently via multi-level signaling and channel coding soft decision decoders [Black97] multi-bit ADC s at Gsample/s [Ellersick00] High Speed Inter-chip Signaling in CMOS 29/31

30 It s just a bandlimited channel... Past abstraction of a high SNR, wideband channel no longer applies exploit techniques used in existing bandlimited communication systems modems (getting 56 kbps from 3 khz channel) Still alot of work to be done on required building blocks high speed, low resolution ADCs high speed soft decision decoders receiver equalization schemes Only real limit is Shannon s Limit High Speed Inter-chip Signaling in CMOS 30/31

31 References W.J. Dally, et. al., Multi-gigabit signaling with CMOS, IEEE/LEOS 8th Workshop on Interconnections Within High-Speed Digital Systems, May 12, S.Sidiropoulos and M. Horowitz, A 700 Mb/s/pin CMOS signaling interface using current integrating receivers, JSSC, May C.K. Yang, et. al., A 0.5µm CMOS 4.0-Gbit/s serial link transceiver with data recovery using integrating receivers, JSSC, May R. Mooney, C.Dike, and S. Borkar, A 900 Mb/s bidirectional signaling scheme, JSSC, December R. Farjad-Rad, et. al., A 0.4µm CMOS 10-Gb/s 4-PAM pre-emphasis serial link transmitter, JSSC, May A. DeHon, T. Knight, and T. Simon, Automatic impedance control, ISSCC 93, February 1993 W.J. Black and T.H. Meng, A 1-Gb/s, four state, sliding block viterbi decoder, JSSC, June W. Ellersick et. al., GAD: 12 GS/s/ CMOS 4-bit A/D converter for an equalized multi-level link, 1999 IEEE Symposium on VLSI Circuits, June High Speed Inter-chip Signaling in CMOS 31/31

Lecture 2. High-Speed I/O

Lecture 2. High-Speed I/O Lecture 2 High-Speed I/O Mark Horowitz Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 by Mark Horowitz, with material from Stefanos Sidiropoulos, and Vladimir Stojanovic

More information

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 13.7 A 40Gb/s Clock and Data Recovery Circuit in 0.18µm CMOS Technology Jri Lee, Behzad Razavi University of California, Los Angeles, CA

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7 4.7 A 2.7 Gb/s CDMA-Interconnect Transceiver Chip Set with Multi-Level Signal Data Recovery for Re-configurable VLSI Systems

More information

ECEN474: (Analog) VLSI Circuit Design Fall 2010

ECEN474: (Analog) VLSI Circuit Design Fall 2010 ECEN474: (Analog) VLSI Circuit Design Fall 2010 Lecture 26: High-Speed I/O Overview Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary report due Nov 19 This

More information

Using Pre-Emphasis and Equalization with Stratix GX

Using Pre-Emphasis and Equalization with Stratix GX Introduction White Paper Using Pre-Emphasis and Equalization with Stratix GX New high speed serial interfaces provide a major benefit to designers looking to provide greater data bandwidth across the backplanes

More information

Equalization/Compensation of Transmission Media. Channel (copper or fiber)

Equalization/Compensation of Transmission Media. Channel (copper or fiber) Equalization/Compensation of Transmission Media Channel (copper or fiber) 1 Optical Receiver Block Diagram O E TIA LA EQ CDR DMUX -18 dbm 10 µa 10 mv p-p 400 mv p-p 2 Copper Cable Model Copper Cable 4-foot

More information

Managing High-Speed Clocks

Managing High-Speed Clocks Managing High-Speed s & Greg Steinke Director, Component Applications Managing High-Speed s Higher System Performance Requires Innovative ing Schemes What Are The Possibilities? High-Speed ing Schemes

More information

Spike-Based Sensing and Processing: What are spikes good for? John G. Harris Electrical and Computer Engineering Dept

Spike-Based Sensing and Processing: What are spikes good for? John G. Harris Electrical and Computer Engineering Dept Spike-Based Sensing and Processing: What are spikes good for? John G. Harris Electrical and Computer Engineering Dept ONR NEURO-SILICON WORKSHOP, AUG 1-2, 2006 Take Home Messages Introduce integrate-and-fire

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 Lecture 25: Clocking Architectures Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary

More information

Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits

Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits by David J. Rennie A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for the degree of

More information

A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link

A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link Kang jik Kim, Ki sang Jeong, Seong ik Cho The Department of Electronics Engineering Chonbuk National

More information

Signal Types and Terminations

Signal Types and Terminations Helping Customers Innovate, Improve & Grow Application Note Signal Types and Terminations Introduction., H, LV, Sinewave, Clipped Sinewave, TTL, PECL,,, CML Oscillators and frequency control devices come

More information

Chapter 6 PLL and Clock Generator

Chapter 6 PLL and Clock Generator Chapter 6 PLL and Clock Generator The DSP56300 core features a Phase Locked Loop (PLL) clock generator in its central processing module. The PLL allows the processor to operate at a high internal clock

More information

Timing Errors and Jitter

Timing Errors and Jitter Timing Errors and Jitter Background Mike Story In a sampled (digital) system, samples have to be accurate in level and time. The digital system uses the two bits of information the signal was this big

More information

Explore Efficient Test Approaches for PCIe at 16GT/s Kalev Sepp Principal Engineer Tektronix, Inc

Explore Efficient Test Approaches for PCIe at 16GT/s Kalev Sepp Principal Engineer Tektronix, Inc Explore Efficient Test Approaches for PCIe at 16GT/s Kalev Sepp Principal Engineer Tektronix, Inc Copyright 2015, PCI-SIG, All Rights Reserved 1 Disclaimer Presentation Disclaimer: All opinions, judgments,

More information

W a d i a D i g i t a l

W a d i a D i g i t a l Wadia Decoding Computer Overview A Definition What is a Decoding Computer? The Wadia Decoding Computer is a small form factor digital-to-analog converter with digital pre-amplifier capabilities. It is

More information

8 Gbps CMOS interface for parallel fiber-optic interconnects

8 Gbps CMOS interface for parallel fiber-optic interconnects 8 Gbps CMOS interface for parallel fiberoptic interconnects Barton Sano, Bindu Madhavan and A. F. J. Levi Department of Electrical Engineering University of Southern California Los Angeles, California

More information

A 1.62/2.7/5.4 Gbps Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO

A 1.62/2.7/5.4 Gbps Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.3, JUNE, 2013 http://dx.doi.org/10.5573/jsts.2013.13.3.185 A 1.62/2.7/5.4 Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO

More information

11. High-Speed Differential Interfaces in Cyclone II Devices

11. High-Speed Differential Interfaces in Cyclone II Devices 11. High-Speed Differential Interfaces in Cyclone II Devices CII51011-2.2 Introduction From high-speed backplane applications to high-end switch boxes, low-voltage differential signaling (LVDS) is the

More information

Continuous-Time Converter Architectures for Integrated Audio Processors: By Brian Trotter, Cirrus Logic, Inc. September 2008

Continuous-Time Converter Architectures for Integrated Audio Processors: By Brian Trotter, Cirrus Logic, Inc. September 2008 Continuous-Time Converter Architectures for Integrated Audio Processors: By Brian Trotter, Cirrus Logic, Inc. September 2008 As consumer electronics devices continue to both decrease in size and increase

More information

6.976 High Speed Communication Circuits and Systems Lecture 1 Overview of Course

6.976 High Speed Communication Circuits and Systems Lecture 1 Overview of Course 6.976 High Speed Communication Circuits and Systems Lecture 1 Overview of Course Michael Perrott Massachusetts Institute of Technology Copyright 2003 by Michael H. Perrott Wireless Systems Direct conversion

More information

DEVELOPMENT OF DEVICES AND METHODS FOR PHASE AND AC LINEARITY MEASUREMENTS IN DIGITIZERS

DEVELOPMENT OF DEVICES AND METHODS FOR PHASE AND AC LINEARITY MEASUREMENTS IN DIGITIZERS DEVELOPMENT OF DEVICES AND METHODS FOR PHASE AND AC LINEARITY MEASUREMENTS IN DIGITIZERS U. Pogliano, B. Trinchera, G.C. Bosco and D. Serazio INRIM Istituto Nazionale di Ricerca Metrologica Torino (Italia)

More information

Simplifying System Design Using the CS4350 PLL DAC

Simplifying System Design Using the CS4350 PLL DAC Simplifying System Design Using the CS4350 PLL 1. INTRODUCTION Typical Digital to Analog Converters (s) require a high-speed Master Clock to clock their digital filters and modulators, as well as some

More information

VITESSE SEMICONDUCTOR CORPORATION. 16:1 Multiplexer. Timing Generator. CMU x16

VITESSE SEMICONDUCTOR CORPORATION. 16:1 Multiplexer. Timing Generator. CMU x16 Features 16:1 2.488 Gb/s Multiplexer Integrated PLL for Clock Generation - No External Components 16-bit Wide, Single-ended, ECL 100K Compatible Parallel Data Interface 155.52 MHz Reference Clock Frequency

More information

High-Speed Electronics

High-Speed Electronics High-Speed Electronics Mentor User Conference 2005 - München Dr. Alex Huber, hubera@zma.ch Zentrum für Mikroelektronik Aargau, 5210 Windisch, Switzerland www.zma.ch Page 1 Outline 1. Motivation 2. Speed

More information

Duobinary Modulation For Optical Systems

Duobinary Modulation For Optical Systems Introduction Duobinary Modulation For Optical Systems Hari Shanar Inphi Corporation Optical systems by and large use NRZ modulation. While NRZ modulation is suitable for long haul systems in which the

More information

Interconnection technologies

Interconnection technologies Interconnection technologies Ron Ho VLSI Research Group Sun Microsystems Laboratories 1 Acknowledgements Many contributors to the work described here > Robert Drost, David Hopkins, Alex Chow, Tarik Ono,

More information

DS2187 Receive Line Interface

DS2187 Receive Line Interface Receive Line Interface www.dalsemi.com FEATURES Line interface for T1 (1.544 MHz) and CEPT (2.048 MHz) primary rate networks Extracts clock and data from twisted pair or coax Meets requirements of PUB

More information

Implementation of Digital Signal Processing: Some Background on GFSK Modulation

Implementation of Digital Signal Processing: Some Background on GFSK Modulation Implementation of Digital Signal Processing: Some Background on GFSK Modulation Sabih H. Gerez University of Twente, Department of Electrical Engineering s.h.gerez@utwente.nl Version 4 (February 7, 2013)

More information

Signal integrity in deep-sub-micron integrated circuits

Signal integrity in deep-sub-micron integrated circuits Signal integrity in deep-sub-micron integrated circuits Alessandro Bogliolo abogliolo@ing.unife.it Outline Introduction General signaling scheme Noise sources and effects in DSM ICs Supply noise Synchronization

More information

Application Note 83 Fundamentals of RS 232 Serial Communications

Application Note 83 Fundamentals of RS 232 Serial Communications Application Note 83 Fundamentals of Serial Communications Due to it s relative simplicity and low hardware overhead (as compared to parallel interfacing), serial communications is used extensively within

More information

A 1.7 Gbps DLL-Based Clock Data Recovery for a Serial Display Interface in 0.35-μm CMOS

A 1.7 Gbps DLL-Based Clock Data Recovery for a Serial Display Interface in 0.35-μm CMOS A 1.7 Gbps DLL-Based Clock Data Recovery for a Serial Display Interface in 0.35-μm CMOS Yong-Hwan Moon, Sang-Ho Kim, Tae-Ho Kim, Hyung-Min Park, and Jin-Ku Kang This paper presents a delay-locked-loop

More information

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1 ing Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle 6.884 - Spring 2005 2/18/05

More information

Digital to Analog Converter. Raghu Tumati

Digital to Analog Converter. Raghu Tumati Digital to Analog Converter Raghu Tumati May 11, 2006 Contents 1) Introduction............................... 3 2) DAC types................................... 4 3) DAC Presented.............................

More information

DESIGN OF NOISE-ROBUST CLOCK AND DATA RECOVERY USING AN ADAPTIVE-BANDWIDTH MIXED PLL/DLL. A dissertation presented by.

DESIGN OF NOISE-ROBUST CLOCK AND DATA RECOVERY USING AN ADAPTIVE-BANDWIDTH MIXED PLL/DLL. A dissertation presented by. DESIGN OF NOISE-ROBUST CLOCK AND DATA RECOVERY USING AN ADAPTIVE-BANDWIDTH MIXED PLL/DLL A dissertation presented by Han-Yuan Tan to The Division of Engineering and Applied Sciences in partial fulfillment

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

HIGH PERFORMANCE INTER-CHIP SIGNALLING

HIGH PERFORMANCE INTER-CHIP SIGNALLING HIGH PERFORMANCE INTER-CHIP SIGNALLING Stefanos Sidiropoulos Technical Report No. CSL-TR-98-760 April 1998 This research has been supported by ARPA contract DABT63-94-C-0054. HIGH PERFORMANCE INTER-CHIP

More information

Design and Modelling of Clock and Data Recovery Integrated Circuit in 130 nm CMOS Technology for 10 Gb/s Serial Data Communications

Design and Modelling of Clock and Data Recovery Integrated Circuit in 130 nm CMOS Technology for 10 Gb/s Serial Data Communications Design and Modelling of Clock and Data Recovery Integrated Circuit in 130 nm CMOS Technology for 10 Gb/s Serial Data Communications A THESIS SUBMITTED TO THE DEPARTMENT OF ELECTRONICS AND ELECTRICAL ENGINEERING

More information

8B/10B Coding 64B/66B Coding

8B/10B Coding 64B/66B Coding 8B/10B Coding 64B/66B Coding 1. Transmission Systems 2. 8B/10B Coding 3. 64B/66B Coding 4. CIP Demonstrator Test Setup PeterJ Slide 1 Transmission system General Data Clock D C Flip Flop Q @ 1 Gbps = 1

More information

it4036f 120-ps Wideband Phase Delay Description Features Device Diagram Timing Diagram

it4036f 120-ps Wideband Phase Delay Description Features Device Diagram Timing Diagram Description The it436f is an ultra-wideband phase delay with an ECL topology to ensure high-speed operation that accepts either single-ended or differential data input. Its high output voltage, excellent

More information

Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs

Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs Ikchan Jang 1, Soyeon Joo 1, SoYoung Kim 1, Jintae Kim 2, 1 College of Information and Communication Engineering, Sungkyunkwan University,

More information

155 Mb/s Fiber Optic Light to Logic Receivers for OC3/STM1

155 Mb/s Fiber Optic Light to Logic Receivers for OC3/STM1 155 Mb/s Fiber Optic Light to Logic Receivers for OC3/STM1 Application Note 1125 RCV1551, RGR1551 Introduction This application note details the operation and usage of the RCV1551 and RGR1551 Light to

More information

Section 3. Sensor to ADC Design Example

Section 3. Sensor to ADC Design Example Section 3 Sensor to ADC Design Example 3-1 This section describes the design of a sensor to ADC system. The sensor measures temperature, and the measurement is interfaced into an ADC selected by the systems

More information

On-chip clock error characterization for clock distribution system

On-chip clock error characterization for clock distribution system On-chip clock error characterization for clock distribution system Chuan Shan, Dimitri Galayko, François Anceau Laboratoire d informatique de Paris 6 (LIP6) Université Pierre & Marie Curie (UPMC), Paris,

More information

Elettronica dei Sistemi Digitali Costantino Giaconia SERIAL I/O COMMON PROTOCOLS

Elettronica dei Sistemi Digitali Costantino Giaconia SERIAL I/O COMMON PROTOCOLS SERIAL I/O COMMON PROTOCOLS RS-232 Fundamentals What is RS-232 RS-232 is a popular communications interface for connecting modems and data acquisition devices (i.e. GPS receivers, electronic balances,

More information

IN RECENT YEARS, the increase of data transmission over

IN RECENT YEARS, the increase of data transmission over 1356 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 8, AUGUST 2004 A 3.125-Gb/s Clock and Data Recovery Circuit for the 10-Gbase-LX4 Ethernet Rong-Jyi Yang, Student Member, IEEE, Shang-Ping Chen, and

More information

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems Harris Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH

More information

Clock Recovery in Serial-Data Systems Ransom Stephens, Ph.D.

Clock Recovery in Serial-Data Systems Ransom Stephens, Ph.D. Clock Recovery in Serial-Data Systems Ransom Stephens, Ph.D. Abstract: The definition of a bit period, or unit interval, is much more complicated than it looks. If it were just the reciprocal of the data

More information

ADS9850 Signal Generator Module

ADS9850 Signal Generator Module 1. Introduction ADS9850 Signal Generator Module This module described here is based on ADS9850, a CMOS, 125MHz, and Complete DDS Synthesizer. The AD9850 is a highly integrated device that uses advanced

More information

Wireless Security Camera

Wireless Security Camera Wireless Security Camera Technical Manual 12/14/2001 Table of Contents Page 1.Overview 3 2. Camera Side 4 1.Camera 5 2. Motion Sensor 5 3. PIC 5 4. Transmitter 5 5. Power 6 3. Computer Side 7 1.Receiver

More information

+5 V Powered RS-232/RS-422 Transceiver AD7306

+5 V Powered RS-232/RS-422 Transceiver AD7306 a FEATURES RS- and RS- on One Chip Single + V Supply. F Capacitors Short Circuit Protection Excellent Noise Immunity Low Power BiCMOS Technology High Speed, Low Skew RS- Operation C to + C Operations APPLICATIONS

More information

1+1 PROTECTION WITHOUT RELAYS USING IDT82V2044/48/48L & IDT82V2054/58/58L HITLESS PROTECTION SWITCHING

1+1 PROTECTION WITHOUT RELAYS USING IDT82V2044/48/48L & IDT82V2054/58/58L HITLESS PROTECTION SWITCHING 1+1 PROTECTION WITHOUT RELAYS USING IDT82V2044/48/48L & IDT82V2054/58/58L APPLICATION NOTE AN-357 1.0 INTRODUCTION In today's highly competitive market, high quality of service, QOS, and reliability is

More information

Latch Timing Parameters. Flip-flop Timing Parameters. Typical Clock System. Clocking Overhead

Latch Timing Parameters. Flip-flop Timing Parameters. Typical Clock System. Clocking Overhead Clock - key to synchronous systems Topic 7 Clocking Strategies in VLSI Systems Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Clocks help the design of FSM where

More information

Chapter 6: From Digital-to-Analog and Back Again

Chapter 6: From Digital-to-Analog and Back Again Chapter 6: From Digital-to-Analog and Back Again Overview Often the information you want to capture in an experiment originates in the laboratory as an analog voltage or a current. Sometimes you want to

More information

Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions

Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions PCI Express Bus In Today s Market PCI Express, or PCIe, is a relatively new serial pointto-point bus in PCs. It was introduced as an AGP

More information

Evaluating AC Current Sensor Options for Power Delivery Systems

Evaluating AC Current Sensor Options for Power Delivery Systems Evaluating AC Current Sensor Options for Power Delivery Systems State-of-the-art isolated ac current sensors based on CMOS technology can increase efficiency, performance and reliability compared to legacy

More information

Digital Subscriber Line (DSL) Transmission Methods

Digital Subscriber Line (DSL) Transmission Methods Digital Subscriber Line (DSL) Transmission Methods 1. Overview... 1 2. SHDSL Transmission Methods... 1 SHDSL Transmission System Versions... 1 SHDSL Transmission Subsystem Structure... 1 SHDSL Modulation

More information

A 125-MHz Mixed-Signal Echo Canceller for Gigabit Ethernet on Copper Wire

A 125-MHz Mixed-Signal Echo Canceller for Gigabit Ethernet on Copper Wire 366 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 3, MARCH 2001 A 125-MHz Mixed-Signal Echo Canceller for Gigabit Ethernet on Copper Wire Tai-Cheng Lee and Behzad Razavi, Member, IEEE Abstract A discrete-time

More information

QAM Demodulation. Performance Conclusion. o o o o o. (Nyquist shaping, Clock & Carrier Recovery, AGC, Adaptive Equaliser) o o. Wireless Communications

QAM Demodulation. Performance Conclusion. o o o o o. (Nyquist shaping, Clock & Carrier Recovery, AGC, Adaptive Equaliser) o o. Wireless Communications 0 QAM Demodulation o o o o o Application area What is QAM? What are QAM Demodulation Functions? General block diagram of QAM demodulator Explanation of the main function (Nyquist shaping, Clock & Carrier

More information

Part Number Description AD9254R703F Radiation tested to 100K, 1.8V, 14-Bit, 150MSPS Bipolar Ain Range A/D Converter

Part Number Description AD9254R703F Radiation tested to 100K, 1.8V, 14-Bit, 150MSPS Bipolar Ain Range A/D Converter This specification documents the detail requirements for space qualified product manufactured on Analog Devices, Inc.'s QML certified line per MIL-PRF-38535 Level V except as modified herein. The manufacturing

More information

Conversion Between Analog and Digital Signals

Conversion Between Analog and Digital Signals ELET 3156 DL - Laboratory #6 Conversion Between Analog and Digital Signals There is no pre-lab work required for this experiment. However, be sure to read through the assignment completely prior to starting

More information

Analog signals are those which are naturally occurring. Any analog signal can be converted to a digital signal.

Analog signals are those which are naturally occurring. Any analog signal can be converted to a digital signal. 3.3 Analog to Digital Conversion (ADC) Analog signals are those which are naturally occurring. Any analog signal can be converted to a digital signal. 1 3.3 Analog to Digital Conversion (ADC) WCB/McGraw-Hill

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.5

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.5 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.5 10.5 Broadband ESD Protection Circuits in CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering Department, University of

More information

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING BARIS TASKIN, JOHN WOOD, IVAN S. KOURTEV February 28, 2005 Research Objective Objective: Electronic design automation

More information

Multi-Gigabit Interfaces for Communications/Datacomm

Multi-Gigabit Interfaces for Communications/Datacomm Multi-Gigabit Interfaces for Communications/Datacomm Richard Dugan, Drew Plant Hewlett-Packard Integrated Circuit Business Division email: richard_dugan@hp.com, drew_plant@hp.com 802.3 Meeting, Austin

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

BURST-MODE communication relies on very fast acquisition

BURST-MODE communication relies on very fast acquisition IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 8, AUGUST 2005 437 Instantaneous Clockless Data Recovery and Demultiplexing Behnam Analui and Ali Hajimiri Abstract An alternative

More information

Programmable Single-/Dual-/Triple- Tone Gong SAE 800

Programmable Single-/Dual-/Triple- Tone Gong SAE 800 Programmable Single-/Dual-/Triple- Tone Gong Preliminary Data SAE 800 Bipolar IC Features Supply voltage range 2.8 V to 18 V Few external components (no electrolytic capacitor) 1 tone, 2 tones, 3 tones

More information

LC 2 MOS Signal Conditioning ADC with RTD Excitation Currents AD7711

LC 2 MOS Signal Conditioning ADC with RTD Excitation Currents AD7711 FEATURES Charge-Balancing ADC 24 Bits, No Missing Codes 0.0015% Nonlinearity 2-Channel Programmable Gain Front End Gains from 1 to 128 1 Differential Input 1 Single-Ended Input Low-Pass Filter with Programmable

More information

Data Receivers. Digital Data Receivers

Data Receivers. Digital Data Receivers Data Receivers Digital data receivers Equalization Data detection Timing recovery NRZ data spectra Eye diagrams Transmission line response Think of it as another example for a 247 project EECS 247 Lecture

More information

A Gigabit Transceiver for Data Transmission in Future HEP Experiments and An overview of optoelectronics in HEP

A Gigabit Transceiver for Data Transmission in Future HEP Experiments and An overview of optoelectronics in HEP A Gigabit Transceiver for Data Transmission in Future HEP Experiments and An overview of optoelectronics in HEP Ken Wyllie, CERN 1 Outline Optoelectronics What? Why? How? Experience in HEP (LHC) & future

More information

Equalization for High-Speed Serial Interfaces in Xilinx 7 Series FPGA Transceivers

Equalization for High-Speed Serial Interfaces in Xilinx 7 Series FPGA Transceivers White Paper: 7 Series FPGAs WP419 (v1.0) March 27, 2012 Equalization for High-Speed Serial Interfaces in Xilinx 7 Series FPGA Transceivers By: Harry Fu The appetite for data is exploding, and the industry

More information

SPACE CODING APPLIED TO HIGH-SPEED CHIP-TO-CHIP INTERCONNECTS

SPACE CODING APPLIED TO HIGH-SPEED CHIP-TO-CHIP INTERCONNECTS SPACE CODING APPLIED TO HIGH-SPEED CHIP-TO-CHIP INTERCONNECTS by Kamran Farzan A thesis submitted in conformity with the requirements for the Degree of Doctor of Philosophy, The Edward S. Rogers Sr. Department

More information

AVR127: Understanding ADC Parameters. Introduction. Features. Atmel 8-bit and 32-bit Microcontrollers APPLICATION NOTE

AVR127: Understanding ADC Parameters. Introduction. Features. Atmel 8-bit and 32-bit Microcontrollers APPLICATION NOTE Atmel 8-bit and 32-bit Microcontrollers AVR127: Understanding ADC Parameters APPLICATION NOTE Introduction This application note explains the basic concepts of analog-to-digital converter (ADC) and the

More information

Introduction to Digital Subscriber s Line (DSL)

Introduction to Digital Subscriber s Line (DSL) Introduction to Digital Subscriber s Line (DSL) Professor Fu Li, Ph.D., P.E. Chapter 3 DSL Fundementals BASIC CONCEPTS maximizes the transmission distance by use of modulation techniques but generally

More information

Design of Bidirectional Coupling Circuit for Broadband Power-Line Communications

Design of Bidirectional Coupling Circuit for Broadband Power-Line Communications Journal of Electromagnetic Analysis and Applications, 2012, 4, 162-166 http://dx.doi.org/10.4236/jemaa.2012.44021 Published Online April 2012 (http://www.scirp.org/journal/jemaa) Design of Bidirectional

More information

LM118/LM218/LM318 Operational Amplifiers

LM118/LM218/LM318 Operational Amplifiers LM118/LM218/LM318 Operational Amplifiers General Description The LM118 series are precision high speed operational amplifiers designed for applications requiring wide bandwidth and high slew rate. They

More information

Introduction to Optical Link Design

Introduction to Optical Link Design University of Cyprus Πανεπιστήµιο Κύπρου 1 Introduction to Optical Link Design Stavros Iezekiel Department of Electrical and Computer Engineering University of Cyprus HMY 445 Lecture 08 Fall Semester 2014

More information

Department of Electrical and Computer Engineering Ben-Gurion University of the Negev. LAB 1 - Introduction to USRP

Department of Electrical and Computer Engineering Ben-Gurion University of the Negev. LAB 1 - Introduction to USRP Department of Electrical and Computer Engineering Ben-Gurion University of the Negev LAB 1 - Introduction to USRP - 1-1 Introduction In this lab you will use software reconfigurable RF hardware from National

More information

Data Cables. Schmitt TTL LABORATORY ELECTRONICS II

Data Cables. Schmitt TTL LABORATORY ELECTRONICS II Data Cables Data cables link one instrument to another. Signals can attenuate or disperse on long wires. A direct wire works best for short cables of less than 10 ft. A TTL cable connection can use a Schmitt

More information

USB 3.0 CDR Model White Paper Revision 0.5

USB 3.0 CDR Model White Paper Revision 0.5 USB 3.0 CDR Model White Paper Revision 0.5 January 15, 2009 INTELLECTUAL PROPERTY DISCLAIMER THIS WHITE PAPER IS PROVIDED TO YOU AS IS WITH NO WARRANTIES WHATSOEVER, INCLUDING ANY WARRANTY OF MERCHANTABILITY,

More information

ZL40221 Precision 2:6 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet

ZL40221 Precision 2:6 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet Features Inputs/Outputs Accepts two differential or single-ended inputs LVPECL, LVDS, CML, HCSL, LVCMOS Glitch-free switching of references On-chip input termination and biasing for AC coupled inputs Six

More information

An All-Digital Phase-Locked Loop with High Resolution for Local On-Chip Clock Synthesis

An All-Digital Phase-Locked Loop with High Resolution for Local On-Chip Clock Synthesis An All-Digital Phase-Locked Loop with High Resolution for Local On-Chip Clock Synthesis Oliver Schrape 1, Frank Winkler 2, Steffen Zeidler 1, Markus Petri 1, Eckhard Grass 1, Ulrich Jagdhold 1 International

More information

PCI-SIG ENGINEERING CHANGE NOTICE

PCI-SIG ENGINEERING CHANGE NOTICE PCI-SIG ENGINEERING CHANGE NOTICE TITLE: Separate Refclk Independent SSC Architecture (SRIS) DATE: Updated 10 January 013 AFFECTED DOCUMENT: PCI Express Base Spec. Rev. 3.0 SPONSOR: Intel, HP, AMD Part

More information

DTSB35(53)12L-CD20 RoHS Compliant 1.25G 1310/1550nm(1550/1310nm) 20KM Transceiver

DTSB35(53)12L-CD20 RoHS Compliant 1.25G 1310/1550nm(1550/1310nm) 20KM Transceiver 产 品 规 格 书 Product Specification Sheet DTSB35(53)12L-CD20 RoHS Compliant 1.25G 1310/1550nm(1550/1310nm) 20KM Transceiver PRODUCT FEATURES Up to 1.25Gb/s data links FP laser transmitter for DTSB35(53)12L-CD20

More information

Buffer Op Amp to ADC Circuit Collection

Buffer Op Amp to ADC Circuit Collection Application Report SLOA098 March 2002 Buffer Op Amp to ADC Circuit Collection Bruce Carter High Performance Linear Products ABSTRACT This document describes various techniques that interface buffer op

More information

Title: Low EMI Spread Spectrum Clock Oscillators

Title: Low EMI Spread Spectrum Clock Oscillators Title: Low EMI oscillators Date: March 3, 24 TN No.: TN-2 Page 1 of 1 Background Title: Low EMI Spread Spectrum Clock Oscillators Traditional ways of dealing with EMI (Electronic Magnetic Interference)

More information

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces White Paper Introduction The DDR3 SDRAM memory architectures support higher bandwidths with bus rates of 600 Mbps to 1.6 Gbps (300 to 800 MHz), 1.5V operation for lower power, and higher densities of 2

More information

How To Write A Gmii Electrical Specifier

How To Write A Gmii Electrical Specifier GMII Electrical Specification IEEE Interim Meeting, San Diego, January 1997 Dave Fifield 1-408-721-7937 fifield@lan.nsc.com N GMII Electrical Specification - Goals Compatibility with ANSI TR/X3.18-199x

More information

1.6 Gbit/s Synchronous Optical QPSK Transmission with Standard DFB Lasers in Realtime

1.6 Gbit/s Synchronous Optical QPSK Transmission with Standard DFB Lasers in Realtime 1 1.6 Gbit/s Synchronous Optical QPSK Transmission with Standard DFB Lasers in Realtime S. Hoffmann, T. Pfau, R. Peveling, S. Bhandare, O. Adamczyk, M. Porrmann, R. Noé Univ. Paderborn, EIM-E Optical Communication

More information

Plastic Optical Fiber for In-Home communication systems

Plastic Optical Fiber for In-Home communication systems Plastic Optical Fiber for In-Home communication systems Davide Visani 29 October 2010 Bologna E-mail: davide.visani3@unibo.it Summary Reason for Fiber in the Home (FITH) FITH scenario Comparison of CAT5

More information

US-SPI New generation of High performances Ultrasonic device

US-SPI New generation of High performances Ultrasonic device US-SPI New generation of High performances Ultrasonic device Lecoeur Electronique - 19, Rue de Courtenay - 45220 CHUELLES - Tel. : +33 ( 0)2 38 94 28 30 - Fax : +33 (0)2 38 94 29 67 US-SPI Ultrasound device

More information

Lezione 6 Communications Blockset

Lezione 6 Communications Blockset Corso di Tecniche CAD per le Telecomunicazioni A.A. 2007-2008 Lezione 6 Communications Blockset Ing. Marco GALEAZZI 1 What Is Communications Blockset? Communications Blockset extends Simulink with a comprehensive

More information

DRM compatible RF Tuner Unit DRT1

DRM compatible RF Tuner Unit DRT1 FEATURES DRM compatible RF Tuner Unit DRT1 High- Performance RF Tuner Frequency Range: 10 KHz to 30 MHz Input ICP3: +13,5dBm, typ. Noise Figure @ full gain: 14dB, typ. Receiver Factor: -0,5dB, typ. Input

More information

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology Topics of Chapter 5 Sequential Machines Memory elements Memory elements. Basics of sequential machines. Clocking issues. Two-phase clocking. Testing of combinational (Chapter 4) and sequential (Chapter

More information

Product Specification. RoHS-6 Compliant 10Gb/s 850nm Multimode Datacom XFP Optical Transceiver FTLX8512D3BCL

Product Specification. RoHS-6 Compliant 10Gb/s 850nm Multimode Datacom XFP Optical Transceiver FTLX8512D3BCL Product Specification RoHS-6 Compliant 10Gb/s 850nm Multimode Datacom XFP Optical Transceiver FTLX8512D3BCL PRODUCT FEATURES Hot-pluggable XFP footprint Supports 8.5Gb/s and 9.95 through 10.5Gb/s* bit

More information

Successfully negotiating the PCI EXPRESS 2.0 Super Highway Towards Full Compliance

Successfully negotiating the PCI EXPRESS 2.0 Super Highway Towards Full Compliance Successfully negotiating the PCI EXPRESS 2.0 Super Highway Towards Full Compliance Page 1 Agenda Introduction PCIe 2.0 changes from 1.0a/1.1 Spec 5GT/s Challenges Error Correction Techniques Test tool

More information

Atmel Norway 2005. XMEGA Introduction

Atmel Norway 2005. XMEGA Introduction Atmel Norway 005 XMEGA Introduction XMEGA XMEGA targets Leadership on Peripheral Performance Leadership in Low Power Consumption Extending AVR market reach XMEGA AVR family 44-100 pin packages 16K 51K

More information

A PC-BASED TIME INTERVAL COUNTER WITH 200 PS RESOLUTION

A PC-BASED TIME INTERVAL COUNTER WITH 200 PS RESOLUTION 35'th Annual Precise Time and Time Interval (PTTI) Systems and Applications Meeting San Diego, December 2-4, 2003 A PC-BASED TIME INTERVAL COUNTER WITH 200 PS RESOLUTION Józef Kalisz and Ryszard Szplet

More information