IN RECENT YEARS, the increase of data transmission over

Size: px
Start display at page:

Download "IN RECENT YEARS, the increase of data transmission over"

Transcription

1 1356 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 8, AUGUST 2004 A Gb/s Clock and Data Recovery Circuit for the 10-Gbase-LX4 Ethernet Rong-Jyi Yang, Student Member, IEEE, Shang-Ping Chen, and Shen-Iuan Liu, Senior Member, IEEE Abstract A Gb/s clock and data recovery (CDR) circuit using a half-rate digital quadricorrelator frequency detector and a shifted-averaging voltage-controlled oscillator is presented for 10-Gbase-LX4 Ethernet. It can achieve low-jitter operation and improve pull-in range without a reference clock. This CDR circuit has been fabricated in a standard m CMOS technology. It occupies an active area of mm 2 and consumes 83 mw from a single 1.8-V supply. The measured bit-error rate is less than for PRBS Gb/s data. It can meet the jitter tolerance specifications for the 10-Gbase-LX4 Ethernet application. Index Terms Clock and data recovery (CDR), frequency detector, quadricorrelator. I. INTRODUCTION IN RECENT YEARS, the increase of data transmission over the internet has led to the demand for high-speed serial-data communication networks. Several optical communication standards have been applied to high-speed and long-distance communications. Considerable design efforts have been focused on low-cost, low-power integrated fiber-optic transmitters and receivers. Clock and data recovery (CDR) circuits can be used for receivers to generate the clocks synchronized with received data. For different applications, CDR circuits must satisfy the specifications defined by standards such as 10-Gbase Ethernet [1]. The loop bandwidth of CDRs [2] [5] should be small to improve noise performances. However, it will result in small capture and pull-in ranges. CDRs without frequency acquisition loops might need either additional reference clock[3] or off-chip tuning [4]. Digital quadricorrelators[6],[7] have been widely used in frequency acquisition loops because they can be reliable and tolerant to process, voltage and temperature variations. However, the conventional digital quadricorrelator frequency detector(dqfd)[7] could be only suitable for CDRs with full-rate clocks. To lower the power consumption, clock relaxing techniques [2] [5] have been employed to achieve the higher bit-rate transmission with lower clock rate. Considering the power consumption, half-rate CDRs [3] [5] may be a better choice. However, a half-rate frequency detector (FD) would be needed. In this paper, a half-rate DQFD is presented for a half-rate CDR and its operational principle will be explained. This DQFD can enlarge the pull-in range of a CDR and not disturb the loop while the frequency is locked. The mismatch between quadrature clocks will affect the operation of a DQFD. To improve this issue, a shifted-averaging voltage-controlled oscillator (VCO) [8] is employed to improve the accuracy of clock and the jitter performance. Manuscript received December 16, 2003; revised April 13, The authors are with the Graduate Institute of Electronics Engineering and Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan 10617, R.O.C. ( lsi@cc.ee.ntu.edu.tw). Digital Object Identifier /JSSC II. CIRCUIT DESCRIPTION The proposed half-rate CDR circuit consisting of the proposed half-rate DQFD, a shifted-averaging VCO [8], a half-rate phase detector (PD) [5], and two charge pumps, as shown in Fig. 1. The proposed half-rate DQFD can be realized by eight DFFs, two XOR gates, and combinational logics as shown in Fig. 2(a) and (b). The truth table for combinational logics in the proposed DQFD is shown in Fig. 2(c). According to the results clocks of 0,45,90, and 135 are sampled by input data, each half of clock period can be divided into four states, I, II, III, and IV, as shown in Fig. 2(d). In the proposed DQFD, four DFFs triggered by clock of 0 will store the sampled values and record the states. There is a rising edge of clock of 0 to ensure this state to have been recorded. In other words, all valid state transitions have to rotate counterclockwise and cross the arrow in Fig. 2(d). The arrow represents the edge of clock of 0 to rise at the boundary between state IV and state I. The operational principle of the proposed half-rate DQFD will be discussed in the following. For a slow periodic data as shown in Fig. 3(a), suppose that the first rising edge of data appears at the boundary between state III and state IV. Assume the range of a bit time,, could be where is the clock period. Then, the second rising edge appears at the boundary between state IV and state I. The state transition rotated from state IV to state I would be detected. This state transition would indicate that the clock rate is faster than the half data rate; i.e., frequency DOWN should be active. For a fast periodic data in Fig. 3(a), the first rising edge appears at the boundary between state I and state II. Assume that the range of a bit time could be The second one appears at the boundary between state IV and state I. Then the third one appears at the boundary between state IV and state I. Note that the second rising edge should occur in state I. This state I would not be recorded because there is no additional edge of clock of 0 to rise between the second and third rising edges of data. The new state IV sampled by the third rising edge of data will replace the previous state I. Then, the state transition would rotate from state I to state IV. This state transition would indicate that the clock rate is slower than the half data rate; i.e., frequency UP should be active. Combining (1) and (2), the range of clock period can be expressed as (1) (2) (3) /04$ IEEE

2 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 8, AUGUST Fig. 1. Half-rate CDR architecture. Fig. 2. (a) Schematic of half-rate DQFD. (b) Combinational logics. (c) Truth table. (d) State representation. Under this condition, two state transitions, which are mentioned before, could be used to perform frequency acquisition for periodic data. For a random data sequence, it may contain bits between two consecutive rising-edge nonreturn-to-zero (NRZ) data. The nominal range of could be from 2 to 13 for the pseudorandom bit sequence (PRBS) of 2. Actually, the cases of would be considered and the case of occurs only once. As shown in Fig. 3(b), the time duration of bits can be expressed as where and are integers and. If the first rising edge of data leads the clock of 0, is defined as the time duration (4) from the first rising edge of data to the rising edge of clock with 0. Otherwise, is the time from the first rising edge of data to the falling edge of clock with 0. And, if the second rising edge of data leads the clock of 0, is the time from the falling edge of clock with 0 to the second rising edge of data. Else, is the time from the rising edge of clock with 0 to the second rising edge of data. Both and should be smaller than. For state I transiting to state IV as shown in Fig. 3(b), will be satisfied. For all possible state transitions, the ranges of plus are listed in Table I. According to (4), can be expressed as (5)

3 1358 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 8, AUGUST 2004 Fig. 3. Timing diagram for (a) slow and fast periodic data, (b) the state transition from state I to state IV, and (c) the state transition from state IV to state I. TABLE I SUMMATION OF t AND t FOR ALL STATE TRANSITIONS Suppose that this state transition could be selected to speed up the clock, it implies should be smaller than. Substituting the maximum of in (5) into (3), the range of can be given as If, the value of integer is 0 and is smaller than. It means the estimation of frequency detection is right. If, the possible integer is 4 or 5. The case of makes greater than yielding a malfunction of the DQFD. Generally speaking, as long as the probability of correct operation is greater than that of false operation, the DQFD will work properly. The state transition from state I to state IV could be chosen for frequency UP with random data sequence. (6) For the state transition from state IV to state I as shown in Fig. 3(c), could be satisfied. can be expressed as If this state transition could be selected to slow down the clock, should be larger than. Substituting the minimum of in (7) into (3), the range of can be given as By the same method as mentioned before, the cases of from 2 to 6 have been checked that the frequency detection is correct. The state transition from state IV to state I could be chosen for frequency DOWN with random data sequence. (7) (8)

4 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 8, AUGUST Fig. 4. Transfer curve of the proposed DQFD. As the clock rate lies around the upper and lower bounds in (3), the density of frequency correction would be very low. Two additional state transitions, such as state transition from state I to state III and state transition from state II to state IV, are chosen to aid the speed-up process. Similarly, two additional state transitions, such as state transition from state III to state I and state transition from state IV to state II, are chosen for the slow-down process. The complete truth table of the half-rate DQFD which can work properly with random NRZ data is shown in Fig. 2(c). The simulated transfer curve of the proposed DQFD is illustrated in Fig. 4. When the clock rate is close to half data rate, their relation can be described as (9) Fig. 5. Die photo of this work. TABLE II PERFORMANCE SUMMARY where is the time difference between and. The state which is sampled by input data would remain the same but the sampled point would drift by a value of. As the sampled point crossing the boundaries of states, a state transition would occur. Assume the time interval between two consecutive UP/DOWN pulses is and all in are the same. In this time interval, the accumulated phase difference would be about and can be expressed as (10) By substituting (10) into (9), the normalized frequency offset can be calculated as (11) The frequency offset would approach to zero as approaches to infinite. It implies that there are no more UP/DOWN pulses when frequency is the same. The half-rate DQFD will not disturb the PD when locked. The shifted-averaging VCO [8] with the differential delay stages [9] is used to reduce the error caused by the mismatches among the delay stages. Compared with a conventional VCO, the shifted-averaging VCO will have better phase accuracy and jitter performance at the cost of doubling gate counts and power consumption [8]. A half-rate Hogge PD [5] is employed in this work to extract high-speed phase information. All logic components in the PD are implemented in current-mode logic (CML) [10]. Similarly, the charge pump is implemented with CML XOR gates [5]. III. EXPERIMENTAL RESULTS The proposed CDR has been fabricated in a standard m CMOS technology. Two off-chip capacitors Ce and Cp are 1.7 nf and 60.3 nf, respectively. Another 70-pF on-chip capacitor in parallel with Ce was placed on chip to stabilize the control voltage on the VCO and alleviate the disturbance of bond-wire inductance. This CDR consumes 83 mw from a single 1.8-V supply and occupies an active area of mm including a 70-pF on-chip capacitor. Fig. 5 shows the die photograph. Since the coding scheme in 10-Gbase-LX4 Ethernet is 8 B/ 10 B, the maximum run length of consecutive zeros or ones is 5. This CDR is measured using NRZ data with a PRBS of 2. Fig. 6(a) illustrates the retimed data and clock. The output impedance of the open drain buffer is not matched to 50- and causes an overshoot at the retimed data eye diagram. The measured jitter histogram and spectrum of the retimed clock

5 1360 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 8, AUGUST 2004 Fig. 6. Measured (a) eye diagram of retimed data for PRBS, (b) jitter histogram of retimed clock, (c) spectrum of retimed clock, and (d) jitter tolerance. as the CDR is locked to Gb/s NRZ data are given in Fig. 6(b) and 6(c), respectively. The measured rms and peak-topeak jitter is 2.2 and 16 ps, respectively. The measured bit-error rate (BER) is smaller than 10. Fig. 6(d) shows the measured jitter tolerance of this CDR and it could meet the specifications of 10-Gbase-LX4 Ethernet. Table II gives the performance summary of this work. IV. CONCLUSION A Gb/s CDR circuit incorporating the proposed shifted-averaging VCO and half-rate DQFD is realized in a m standard CMOS technology for 10-Gbase-LX4 Ethernet. The shifted-averaging VCO improves the phase accuracy and the jitter performance. The half-rate DQFD enlarges the pull-in range and does not interfere with the steady-state performance. From the measurement results, the CDR circuit could meet the 10-Gbase-LX4 Ethernet specifications and its BER is less than 10. ACKNOWLEDGMENT The authors would like to thank Chip Implementation Center (CIC), Taiwan, for fabricating this chip. REFERENCES [1] Media Access Control (MAC) Parameters, Physical Layer, and Management Parameter for 10 Gb/s Operation, IEEE Draft P802.3ae/D3.3, [2] S. J. Song, S. M. Park, and H. J. Yoo, A 4-Gb/s CMOS clock and data recovery circuit using 1/8-rate clock technique, IEEE J. Solid-State Circuits, vol. 38, pp , July [3] S. H. Lee, M. S. Hwang, Y. Choi, S. Kim, Y. Moon, B. J. Lee, D. K. Jeong, W. Kim, Y. J. Park, and G. Ahn, A 5 Gb/s 0.25 m CMOS jitter-tolerant variable-interval oversampling clock/data recovery circuit, IEEE J. Solid-State Circuits, vol. 37, pp , Dec [4] J. E. Rogers and J. R. Long, A 10 Gb/s CDR/DEMUX with LC delay line VCO in 0.18-um CMOS, IEEE J. Solid-State Circuits, vol. 37, pp , May [5] J. Savoj and B. Razavi, A 10-Gb/s CMOS clock and data recovery circuit with a half-rate linear phase detector, IEEE J. Solid-State Circuits, vol. 36, pp , May [6] A. Pottbacker, U. Langmann, and H. Schreiber, A Si bipolar phase and frequency detector IC for clock extraction up to 8 Gb/s, IEEE J. Solid- State Circuits, vol. 27, pp , Dec [7] B. Stilling, Bit rate and protocol independent clock and data recovery, Electron. Lett., vol. 36, pp , Apr [8] H. H. Chang, S. P. Chen, and S. I. Liu, A shifted-averaging VCO with precise multiphase outputs and low jitter operation, in Proc. 29th Eur. Solid-State Circuits Conf., Sept. 2003, pp [9] W. S. T. Yan and H. C. Luong, A 900-MHz CMOS low-phase-noise voltage-controlled ring oscillator, IEEE Trans. Circuits Syst. II, vol. 48, pp , Feb [10] M. M. Green and U. Singh, Design of CMOS CML circuits for highspeed broadband communications, in Proc. IEEE Int. Symp. Circuits and Systems, vol. II, May 2003, pp

Rong-Jyi YANG, Nonmember and Shen-Iuan LIU a), Member

Rong-Jyi YANG, Nonmember and Shen-Iuan LIU a), Member 1726 PAPER Special Section on Papers Selected from AP-ASIC 2004 A Fully Integrated 1.7 3.125 Gbps Clock and Data Recovery Circuit Using a Gated Frequency Detector Rong-Jyi YANG, Nonmember and Shen-Iuan

More information

A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link

A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link Kang jik Kim, Ki sang Jeong, Seong ik Cho The Department of Electronics Engineering Chonbuk National

More information

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 13.7 A 40Gb/s Clock and Data Recovery Circuit in 0.18µm CMOS Technology Jri Lee, Behzad Razavi University of California, Los Angeles, CA

More information

A 1.62/2.7/5.4 Gbps Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO

A 1.62/2.7/5.4 Gbps Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.3, JUNE, 2013 http://dx.doi.org/10.5573/jsts.2013.13.3.185 A 1.62/2.7/5.4 Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7 4.7 A 2.7 Gb/s CDMA-Interconnect Transceiver Chip Set with Multi-Level Signal Data Recovery for Re-configurable VLSI Systems

More information

A 10-Gb/s CMOS Clock and Data Recovery Circuit with a Half-Rate Linear Phase Detector

A 10-Gb/s CMOS Clock and Data Recovery Circuit with a Half-Rate Linear Phase Detector IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 761 A 10-Gb/s CMOS Clock and Data Recovery Circuit with a Half-Rate Linear Phase Detector Jafar Savoj, Student Member, IEEE, and Behzad Razavi,

More information

BURST-MODE communication relies on very fast acquisition

BURST-MODE communication relies on very fast acquisition IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 8, AUGUST 2005 437 Instantaneous Clockless Data Recovery and Demultiplexing Behnam Analui and Ali Hajimiri Abstract An alternative

More information

Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits

Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits by David J. Rennie A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for the degree of

More information

A CMOS Clock Recovery Circuit for 2.5-Gb/s NRZ Data

A CMOS Clock Recovery Circuit for 2.5-Gb/s NRZ Data 432 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 3, MARCH 2001 A CMOS Clock Recovery Circuit for 2.5-Gb/s NRZ Data Seema Butala Anand and Behzad Razavi, Member, IEEE Abstract This paper describes

More information

A 2 Gbps to 12 Gbps Wide-Range CDR with Automatic Frequency Band Selector

A 2 Gbps to 12 Gbps Wide-Range CDR with Automatic Frequency Band Selector JOURNAL OF ELECTRONIC SCIENCE AND TECHNOLOGY, VOL. 10, NO. 1, MARCH 2012 67 A 2 Gbps to 12 Gbps Wide-Range CDR with Automatic Frequency Band Selector Chao-Ye Wen, Zhi-Ge Zou, Wei He, Jian-Ming Lei, and

More information

CLOCK and data recovery (CDR) circuits have found

CLOCK and data recovery (CDR) circuits have found 3590 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 12, DECEMBER 2009 A 20-Gb/s Full-Rate Linear Clock and Data Recovery Circuit With Automatic Frequency Acquisition Jri Lee, Member, IEEE, and Ke-Chung

More information

Clock- and data-recovery IC with demultiplexer for a 2.5 Gb/s ATM physical layer controller

Clock- and data-recovery IC with demultiplexer for a 2.5 Gb/s ATM physical layer controller Downloaded from orbit.dtu.dk on: Jan 04, 2016 Clock and datarecovery IC with demultiplexer for a 2.5 Gb/s ATM physical layer controller Hansen, Flemming; Salama, C.A.T. Published in: Proceedings of the

More information

6.976 High Speed Communication Circuits and Systems Lecture 1 Overview of Course

6.976 High Speed Communication Circuits and Systems Lecture 1 Overview of Course 6.976 High Speed Communication Circuits and Systems Lecture 1 Overview of Course Michael Perrott Massachusetts Institute of Technology Copyright 2003 by Michael H. Perrott Wireless Systems Direct conversion

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

Design and Modelling of Clock and Data Recovery Integrated Circuit in 130 nm CMOS Technology for 10 Gb/s Serial Data Communications

Design and Modelling of Clock and Data Recovery Integrated Circuit in 130 nm CMOS Technology for 10 Gb/s Serial Data Communications Design and Modelling of Clock and Data Recovery Integrated Circuit in 130 nm CMOS Technology for 10 Gb/s Serial Data Communications A THESIS SUBMITTED TO THE DEPARTMENT OF ELECTRONICS AND ELECTRICAL ENGINEERING

More information

EFMPlus Data Recovery Circuit with a Fast Locking Scheme for 12X Speed DVD-ROM Drivers

EFMPlus Data Recovery Circuit with a Fast Locking Scheme for 12X Speed DVD-ROM Drivers Journal of the Korean Physical Society, Vol. 40, No. 4, April 2002, pp. 557 561 EFMPlus Data Recovery Circuit with a Fast Locking Scheme for 12X Speed DVD-ROM Drivers Jae-Chul Lee, Jae-Shin Lee and Suki

More information

A Laser Scanner Chip Set for Accurate Perception Systems

A Laser Scanner Chip Set for Accurate Perception Systems A Laser Scanner Chip Set for Accurate Perception Systems 313 A Laser Scanner Chip Set for Accurate Perception Systems S. Kurtti, J.-P. Jansson, J. Kostamovaara, University of Oulu Abstract This paper presents

More information

MONOLITHIC PHASE-LOCKED LOOPS AND CLOCK RECOVERY CIRCUITS

MONOLITHIC PHASE-LOCKED LOOPS AND CLOCK RECOVERY CIRCUITS MONOLITHIC PHASE-LOCKED LOOPS AND CLOCK RECOVERY CIRCUITS THEORY AND DESIGN Edited by Behzad Razavi AT&T Bell Laboratories The Institute of Electrical and Electronics Engineers, Inc., New York P\WILEY-

More information

Chapter 6 PLL and Clock Generator

Chapter 6 PLL and Clock Generator Chapter 6 PLL and Clock Generator The DSP56300 core features a Phase Locked Loop (PLL) clock generator in its central processing module. The PLL allows the processor to operate at a high internal clock

More information

JITTER tolerance indicates the maximum sinusoidal jitter

JITTER tolerance indicates the maximum sinusoidal jitter IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 5, MAY 2008 1217 A Jitter-Tolerance-Enhanced CDR Using a GDCO-Based Phase Detector Che-Fu Liang, Student Member, IEEE, Sy-Chyuan Hwu, and Shen-Iuan Liu,

More information

VARIABLE-frequency oscillators (VFO s) phase locked

VARIABLE-frequency oscillators (VFO s) phase locked 1406 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 9, SEPTEMBER 1998 A 2-V 2-GHz BJT Variable Frequency Oscillator Wei-Zen Chen and Jieh-Tsorng Wu, Member, IEEE Abstract A new LC-tuned negative-resistance

More information

CLOCK AND DATA RECOVERY CIRCUITS RUIYUAN ZHANG

CLOCK AND DATA RECOVERY CIRCUITS RUIYUAN ZHANG CLOCK AND DATA RECOVERY CIRCUITS By RUIYUAN ZHANG A dissertation submitted in partial fulfillment of the requirements for the degree of DOCTER OF PHILOSOPHY WASHINGTON STATE UNIVERSITY School of Electrical

More information

Phase Locked Loop (PLL) based Clock and Data Recovery Circuits (CDR) using Calibrated Delay Flip Flop

Phase Locked Loop (PLL) based Clock and Data Recovery Circuits (CDR) using Calibrated Delay Flip Flop San Jose State University SJSU ScholarWorks Master's Theses Master's Theses and Graduate Research 2014 Phase Locked Loop (PLL) based Clock and Data Recovery Circuits (CDR) using Calibrated Delay Flip Flop

More information

8 Gbps CMOS interface for parallel fiber-optic interconnects

8 Gbps CMOS interface for parallel fiber-optic interconnects 8 Gbps CMOS interface for parallel fiberoptic interconnects Barton Sano, Bindu Madhavan and A. F. J. Levi Department of Electrical Engineering University of Southern California Los Angeles, California

More information

A 10-Gb/s Low Jitter Single-Loop Clock and Data Recovery Circuit With Rotational Phase Frequency Detector

A 10-Gb/s Low Jitter Single-Loop Clock and Data Recovery Circuit With Rotational Phase Frequency Detector 3278 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 61, NO. 11, NOVEMBER 2014 A 10-Gb/s Low Jitter Single-Loop Clock and Data Recovery Circuit With Rotational Phase Frequency Detector

More information

Abstract. Cycle Domain Simulator for Phase-Locked Loops

Abstract. Cycle Domain Simulator for Phase-Locked Loops Abstract Cycle Domain Simulator for Phase-Locked Loops Norman James December 1999 As computers become faster and more complex, clock synthesis becomes critical. Due to the relatively slower bus clocks

More information

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material Yu Xuequan, Yan Hang, Zhang Gezi, Wang Haisan Huawei Technologies Co., Ltd Lujiazui Subpark, Pudong Software

More information

A 40 Gb/s Clock and Data Recovery Module with Improved Phase-Locked Loop Circuits

A 40 Gb/s Clock and Data Recovery Module with Improved Phase-Locked Loop Circuits A 40 Gb/s Clock and Data Recovery Module with Improved PhaseLocked Loop Circuits Hyun Park, Kang Wook Kim, SangKyu Lim, and Jesoo Ko A 40 Gb/s clock and data recovery (CDR) module for a fiberoptic receiver

More information

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING BARIS TASKIN, JOHN WOOD, IVAN S. KOURTEV February 28, 2005 Research Objective Objective: Electronic design automation

More information

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications A -GSPS CMOS Flash A/D Converter for System-on-Chip Applications Jincheol Yoo, Kyusun Choi, and Ali Tangel Department of Computer Science & Department of Computer & Engineering Communications Engineering

More information

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-4, Issue-1 E-ISSN: 2347-2693 A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology Zahra

More information

Loop Bandwidth and Clock Data Recovery (CDR) in Oscilloscope Measurements. Application Note 1304-6

Loop Bandwidth and Clock Data Recovery (CDR) in Oscilloscope Measurements. Application Note 1304-6 Loop Bandwidth and Clock Data Recovery (CDR) in Oscilloscope Measurements Application Note 1304-6 Abstract Time domain measurements are only as accurate as the trigger signal used to acquire them. Often

More information

Digital to Analog Converter. Raghu Tumati

Digital to Analog Converter. Raghu Tumati Digital to Analog Converter Raghu Tumati May 11, 2006 Contents 1) Introduction............................... 3 2) DAC types................................... 4 3) DAC Presented.............................

More information

DS2187 Receive Line Interface

DS2187 Receive Line Interface Receive Line Interface www.dalsemi.com FEATURES Line interface for T1 (1.544 MHz) and CEPT (2.048 MHz) primary rate networks Extracts clock and data from twisted pair or coax Meets requirements of PUB

More information

Clock Recovery in Serial-Data Systems Ransom Stephens, Ph.D.

Clock Recovery in Serial-Data Systems Ransom Stephens, Ph.D. Clock Recovery in Serial-Data Systems Ransom Stephens, Ph.D. Abstract: The definition of a bit period, or unit interval, is much more complicated than it looks. If it were just the reciprocal of the data

More information

How To Test The Performance Of An Oversampling Cdr In An Fgpa, Jitter And Memory On A Black Box (Cdr) In A Test Program

How To Test The Performance Of An Oversampling Cdr In An Fgpa, Jitter And Memory On A Black Box (Cdr) In A Test Program 74 M. KUBÍČEK, Z. KOLKA, BLIND OVERSAMPLING DATA RECOVERY WITH LOW HARDWARE COMPLEXITY Blind Oversampling Data Recovery with Low Hardware Complexity Michal KUBÍČEK, Zdeněk KOLKA Dept. of Radio Electronics,

More information

Timing Errors and Jitter

Timing Errors and Jitter Timing Errors and Jitter Background Mike Story In a sampled (digital) system, samples have to be accurate in level and time. The digital system uses the two bits of information the signal was this big

More information

A 1.7 Gbps DLL-Based Clock Data Recovery for a Serial Display Interface in 0.35-μm CMOS

A 1.7 Gbps DLL-Based Clock Data Recovery for a Serial Display Interface in 0.35-μm CMOS A 1.7 Gbps DLL-Based Clock Data Recovery for a Serial Display Interface in 0.35-μm CMOS Yong-Hwan Moon, Sang-Ho Kim, Tae-Ho Kim, Hyung-Min Park, and Jin-Ku Kang This paper presents a delay-locked-loop

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

Signal Types and Terminations

Signal Types and Terminations Helping Customers Innovate, Improve & Grow Application Note Signal Types and Terminations Introduction., H, LV, Sinewave, Clipped Sinewave, TTL, PECL,,, CML Oscillators and frequency control devices come

More information

Transmission Line Terminations It s The End That Counts!

Transmission Line Terminations It s The End That Counts! In previous articles 1 I have pointed out that signals propagating down a trace reflect off the far end and travel back toward the source. These reflections can cause noise, and therefore signal integrity

More information

A 10GB/S FULL ON-CHIP BANG-BANG CLOCK AND DATA RECOVERY SYSTEM USING AN ADAPTIVE LOOP BANDWIDTH STRATEGY. A Thesis HYUNG-JOON JEON

A 10GB/S FULL ON-CHIP BANG-BANG CLOCK AND DATA RECOVERY SYSTEM USING AN ADAPTIVE LOOP BANDWIDTH STRATEGY. A Thesis HYUNG-JOON JEON A 10GB/S FULL ON-CHIP BANG-BANG CLOCK AND DATA RECOVERY SYSTEM USING AN ADAPTIVE LOOP BANDWIDTH STRATEGY A Thesis by HYUNG-JOON JEON Submitted to the Office of Graduate Studies of Texas A&M University

More information

Optimizing VCO PLL Evaluations & PLL Synthesizer Designs

Optimizing VCO PLL Evaluations & PLL Synthesizer Designs Optimizing VCO PLL Evaluations & PLL Synthesizer Designs Today s mobile communications systems demand higher communication quality, higher data rates, higher operation, and more channels per unit bandwidth.

More information

High-Frequency Integrated Circuits

High-Frequency Integrated Circuits High-Frequency Integrated Circuits SORIN VOINIGESCU University of Toronto CAMBRIDGE UNIVERSITY PRESS CONTENTS Preface, page xiii Introduction l 1.1 High-frequency circuits in wireless, fiber-optic, and

More information

QAM Demodulation. Performance Conclusion. o o o o o. (Nyquist shaping, Clock & Carrier Recovery, AGC, Adaptive Equaliser) o o. Wireless Communications

QAM Demodulation. Performance Conclusion. o o o o o. (Nyquist shaping, Clock & Carrier Recovery, AGC, Adaptive Equaliser) o o. Wireless Communications 0 QAM Demodulation o o o o o Application area What is QAM? What are QAM Demodulation Functions? General block diagram of QAM demodulator Explanation of the main function (Nyquist shaping, Clock & Carrier

More information

Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines

Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines May 2009 AN-444-1.1 This application note describes guidelines for implementing dual unbuffered DIMM DDR2 and DDR3 SDRAM interfaces. This application

More information

Phase-Locked Loop Based Clock Generators

Phase-Locked Loop Based Clock Generators Phase-Locked Loop Based Clock Generators INTRODUCTION As system clock frequencies reach 100 MHz and beyond maintaining control over clock becomes very important In addition to generating the various clocks

More information

A PC-BASED TIME INTERVAL COUNTER WITH 200 PS RESOLUTION

A PC-BASED TIME INTERVAL COUNTER WITH 200 PS RESOLUTION 35'th Annual Precise Time and Time Interval (PTTI) Systems and Applications Meeting San Diego, December 2-4, 2003 A PC-BASED TIME INTERVAL COUNTER WITH 200 PS RESOLUTION Józef Kalisz and Ryszard Szplet

More information

A receiver TDC chip set for accurate pulsed time-of-flight laser ranging

A receiver TDC chip set for accurate pulsed time-of-flight laser ranging A receiver TDC chip set for accurate pulsed time-of-flight laser ranging Juha Kostamovaara, Sami Kurtti, Jussi-Pekka Jansson University of Oulu, Department of Electrical Engineering, Electronics Laboratory,

More information

Performance Comparison of an Algorithmic Current- Mode ADC Implemented using Different Current Comparators

Performance Comparison of an Algorithmic Current- Mode ADC Implemented using Different Current Comparators Performance Comparison of an Algorithmic Current- Mode ADC Implemented using Different Current Comparators Veepsa Bhatia Indira Gandhi Delhi Technical University for Women Delhi, India Neeta Pandey Delhi

More information

TS555. Low-power single CMOS timer. Description. Features. The TS555 is a single CMOS timer with very low consumption:

TS555. Low-power single CMOS timer. Description. Features. The TS555 is a single CMOS timer with very low consumption: Low-power single CMOS timer Description Datasheet - production data The TS555 is a single CMOS timer with very low consumption: Features SO8 (plastic micropackage) Pin connections (top view) (I cc(typ)

More information

On-chip clock error characterization for clock distribution system

On-chip clock error characterization for clock distribution system On-chip clock error characterization for clock distribution system Chuan Shan, Dimitri Galayko, François Anceau Laboratoire d informatique de Paris 6 (LIP6) Université Pierre & Marie Curie (UPMC), Paris,

More information

Duobinary Modulation For Optical Systems

Duobinary Modulation For Optical Systems Introduction Duobinary Modulation For Optical Systems Hari Shanar Inphi Corporation Optical systems by and large use NRZ modulation. While NRZ modulation is suitable for long haul systems in which the

More information

A 10,000 Frames/s 0.18 µm CMOS Digital Pixel Sensor with Pixel-Level Memory

A 10,000 Frames/s 0.18 µm CMOS Digital Pixel Sensor with Pixel-Level Memory Presented at the 2001 International Solid State Circuits Conference February 5, 2001 A 10,000 Frames/s 0.1 µm CMOS Digital Pixel Sensor with Pixel-Level Memory Stuart Kleinfelder, SukHwan Lim, Xinqiao

More information

Implementation of Digital Signal Processing: Some Background on GFSK Modulation

Implementation of Digital Signal Processing: Some Background on GFSK Modulation Implementation of Digital Signal Processing: Some Background on GFSK Modulation Sabih H. Gerez University of Twente, Department of Electrical Engineering s.h.gerez@utwente.nl Version 4 (February 7, 2013)

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.5

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.5 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.5 10.5 Broadband ESD Protection Circuits in CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering Department, University of

More information

Time-to-Voltage Converter for On-Chip Jitter Measurement

Time-to-Voltage Converter for On-Chip Jitter Measurement 1738 IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 6, DECEMBER 2003 Time-to-Voltage Converter for On-Chip Jitter Measurement Tian Xia, Member, IEEE, and Jien-Chung Lo, Senior Member,

More information

USB 3.0 CDR Model White Paper Revision 0.5

USB 3.0 CDR Model White Paper Revision 0.5 USB 3.0 CDR Model White Paper Revision 0.5 January 15, 2009 INTELLECTUAL PROPERTY DISCLAIMER THIS WHITE PAPER IS PROVIDED TO YOU AS IS WITH NO WARRANTIES WHATSOEVER, INCLUDING ANY WARRANTY OF MERCHANTABILITY,

More information

High-Speed Electronics

High-Speed Electronics High-Speed Electronics Mentor User Conference 2005 - München Dr. Alex Huber, hubera@zma.ch Zentrum für Mikroelektronik Aargau, 5210 Windisch, Switzerland www.zma.ch Page 1 Outline 1. Motivation 2. Speed

More information

A true low voltage class-ab current mirror

A true low voltage class-ab current mirror A true low voltage class-ab current mirror A. Torralba, 1a) R. G. Carvajal, 1 M. Jiménez, 1 F. Muñoz, 1 and J. Ramírez-Angulo 2 1 Departamento de Ingeniería Electrónica, Escuela Superior de Ingenieros,

More information

A 1.25-GHz 0.35-m Monolithic CMOS PLL Based on a Multiphase Ring Oscillator

A 1.25-GHz 0.35-m Monolithic CMOS PLL Based on a Multiphase Ring Oscillator 910 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 6, JUNE 2001 A 1.25-GHz 0.35-m Monolithic CMOS PLL Based on a Multiphase Ring Oscillator Lizhong Sun and Tadeusz A. Kwasniewski, Member, IEEE Abstract

More information

2930 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 12, DECEMBER 2006

2930 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 12, DECEMBER 2006 2930 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 12, DECEMBER 2006 A 2.5-Gb/s Multi-Rate 0.25-m CMOS Clock and Data Recovery Circuit Utilizing a Hybrid Analog/Digital Loop Filter and All-Digital

More information

Managing High-Speed Clocks

Managing High-Speed Clocks Managing High-Speed s & Greg Steinke Director, Component Applications Managing High-Speed s Higher System Performance Requires Innovative ing Schemes What Are The Possibilities? High-Speed ing Schemes

More information

2.488Gbps/2.667Gbps Clock and Data Recovery with Limiting Amplifier

2.488Gbps/2.667Gbps Clock and Data Recovery with Limiting Amplifier 查 询 供 应 商 捷 多 邦, 专 业 PCB 打 样 工 厂,24 小 时 加 急 出 货 9-27; Rev ; 4/3 EVALUATION KIT AVAILABLE 2.488Gbps/2.667Gbps Clock and General Description The is a compact, dual-rate clock and data recovery with limiting

More information

Manchester Encoder-Decoder for Xilinx CPLDs

Manchester Encoder-Decoder for Xilinx CPLDs Application Note: CoolRunner CPLDs R XAPP339 (v.3) October, 22 Manchester Encoder-Decoder for Xilinx CPLDs Summary This application note provides a functional description of VHDL and Verilog source code

More information

CHAPTER 11: Flip Flops

CHAPTER 11: Flip Flops CHAPTER 11: Flip Flops In this chapter, you will be building the part of the circuit that controls the command sequencing. The required circuit must operate the counter and the memory chip. When the teach

More information

Lecture 200 Clock and Data Recovery Circuits - I (6/26/03) Page 200-1

Lecture 200 Clock and Data Recovery Circuits - I (6/26/03) Page 200-1 Lecture 200 Clock and ata Recovery Circuits - (6/26/03) Page 200-1 LECTURE 200 CLOCK N T RECOVERY CRCUTS (References [6]) Objective The objective of this presentation is: 1.) Understand the applications

More information

PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide

PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide Application Note PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide Introduction This document explains how to design a PCB with Prolific PL-277x SuperSpeed USB 3.0 SATA Bridge

More information

CHARGE pumps are the circuits that used to generate dc

CHARGE pumps are the circuits that used to generate dc INTERNATIONAL JOURNAL OF DESIGN, ANALYSIS AND TOOLS FOR CIRCUITS AND SYSTEMS, VOL. 1, NO. 1, JUNE 2011 27 A Charge Pump Circuit by using Voltage-Doubler as Clock Scheme Wen Chang Huang, Jin Chang Cheng,

More information

Spike-Based Sensing and Processing: What are spikes good for? John G. Harris Electrical and Computer Engineering Dept

Spike-Based Sensing and Processing: What are spikes good for? John G. Harris Electrical and Computer Engineering Dept Spike-Based Sensing and Processing: What are spikes good for? John G. Harris Electrical and Computer Engineering Dept ONR NEURO-SILICON WORKSHOP, AUG 1-2, 2006 Take Home Messages Introduce integrate-and-fire

More information

MicroMag3 3-Axis Magnetic Sensor Module

MicroMag3 3-Axis Magnetic Sensor Module 1008121 R01 April 2005 MicroMag3 3-Axis Magnetic Sensor Module General Description The MicroMag3 is an integrated 3-axis magnetic field sensing module designed to aid in evaluation and prototyping of PNI

More information

Selecting the Optimum PCI Express Clock Source

Selecting the Optimum PCI Express Clock Source Selecting the Optimum PCI Express Clock Source PCI Express () is a serial point-to-point interconnect standard developed by the Component Interconnect Special Interest Group (PCI-SIG). lthough originally

More information

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN USING DIFFERENT FOUNDRIES Priyanka Sharma 1 and Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 Lecture 25: Clocking Architectures Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary

More information

PLL frequency synthesizer

PLL frequency synthesizer ANALOG & TELECOMMUNICATION ELECTRONICS LABORATORY EXERCISE 4 Lab 4: PLL frequency synthesizer 1.1 Goal The goals of this lab exercise are: - Verify the behavior of a and of a complete PLL - Find capture

More information

Vi, fi input. Vphi output VCO. Vosc, fosc. voltage-controlled oscillator

Vi, fi input. Vphi output VCO. Vosc, fosc. voltage-controlled oscillator Experiment #4 CMOS 446 Phase-Locked Loop c 1997 Dragan Maksimovic Department of Electrical and Computer Engineering University of Colorado, Boulder The purpose of this lab assignment is to introduce operating

More information

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1 ing Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle 6.884 - Spring 2005 2/18/05

More information

11. High-Speed Differential Interfaces in Cyclone II Devices

11. High-Speed Differential Interfaces in Cyclone II Devices 11. High-Speed Differential Interfaces in Cyclone II Devices CII51011-2.2 Introduction From high-speed backplane applications to high-end switch boxes, low-voltage differential signaling (LVDS) is the

More information

A Fast Path Recovery Mechanism for MPLS Networks

A Fast Path Recovery Mechanism for MPLS Networks A Fast Path Recovery Mechanism for MPLS Networks Jenhui Chen, Chung-Ching Chiou, and Shih-Lin Wu Department of Computer Science and Information Engineering Chang Gung University, Taoyuan, Taiwan, R.O.C.

More information

ICS514 LOCO PLL CLOCK GENERATOR. Description. Features. Block Diagram DATASHEET

ICS514 LOCO PLL CLOCK GENERATOR. Description. Features. Block Diagram DATASHEET DATASHEET ICS514 Description The ICS514 LOCO TM is the most cost effective way to generate a high-quality, high-frequency clock output from a 14.31818 MHz crystal or clock input. The name LOCO stands for

More information

Accurate Loss-of-Signal Detection in 10Gbps Optical Receivers using the MAX3991

Accurate Loss-of-Signal Detection in 10Gbps Optical Receivers using the MAX3991 Design Note: HFDN-34.0 Rev.1; 04/08 Accurate Loss-of-Signal Detection in 10Gbps Optical Receivers using the MAX3991 Functional Diagrams Pin Configurations appear at end of data sheet. Functional Diagrams

More information

Design and Simulation of Soft Switched Converter Fed DC Servo Drive

Design and Simulation of Soft Switched Converter Fed DC Servo Drive International Journal of Soft Computing and Engineering (IJSCE) ISSN: 2231-237, Volume-1, Issue-5, November 211 Design and Simulation of Soft Switched Converter Fed DC Servo Drive Bal Mukund Sharma, A.

More information

A 3 V 12b 100 MS/s CMOS D/A Converter for High- Speed Communication Systems

A 3 V 12b 100 MS/s CMOS D/A Converter for High- Speed Communication Systems JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.3, NO., DECEMBER, 3 A 3 V b MS/s CMOS D/A Converter for High- Speed Communication Systems Min-Jung Kim, Hyuen-Hee Bae, Jin-Sik Yoon, and Seung-Hoon

More information

Equalization/Compensation of Transmission Media. Channel (copper or fiber)

Equalization/Compensation of Transmission Media. Channel (copper or fiber) Equalization/Compensation of Transmission Media Channel (copper or fiber) 1 Optical Receiver Block Diagram O E TIA LA EQ CDR DMUX -18 dbm 10 µa 10 mv p-p 400 mv p-p 2 Copper Cable Model Copper Cable 4-foot

More information

AN ESTIMATION APPROACH TO CLOCK AND DATA RECOVERY

AN ESTIMATION APPROACH TO CLOCK AND DATA RECOVERY AN ESTIMATION APPROACH TO CLOCK AND DATA RECOVERY A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT

More information

LPF1. Loop 1 VCO. Loop 2

LPF1. Loop 1 VCO. Loop 2 A 1.25 Gb/s Clock and Data Recovery Hard-IP : H04 1 IP 功 能 及 規 格 1.1 Functional description and architecture 1.1.1 Functional Description This report discusses the design issues related to the clock and

More information

Application Note SAW-Components

Application Note SAW-Components Application Note SAW-Components Principles of SAWR-stabilized oscillators and transmitters. App: Note #1 This application note describes the physical principle of SAW-stabilized oscillator. Oscillator

More information

PowerPC Microprocessor Clock Modes

PowerPC Microprocessor Clock Modes nc. Freescale Semiconductor AN1269 (Freescale Order Number) 1/96 Application Note PowerPC Microprocessor Clock Modes The PowerPC microprocessors offer customers numerous clocking options. An internal phase-lock

More information

AN1200.04. Application Note: FCC Regulations for ISM Band Devices: 902-928 MHz. FCC Regulations for ISM Band Devices: 902-928 MHz

AN1200.04. Application Note: FCC Regulations for ISM Band Devices: 902-928 MHz. FCC Regulations for ISM Band Devices: 902-928 MHz AN1200.04 Application Note: FCC Regulations for ISM Band Devices: Copyright Semtech 2006 1 of 15 www.semtech.com 1 Table of Contents 1 Table of Contents...2 1.1 Index of Figures...2 1.2 Index of Tables...2

More information

Road Vehicles - Diagnostic Systems

Road Vehicles - Diagnostic Systems SSF 14230 Road Vehicles - Diagnostic Systems Keyword Protocol 2000 - Part 1 - Physical Layer Swedish Implementation Standard Document: SSF 14230-1 Status: Issue 3 Date: October 22, 1997 This document is

More information

MULTI-GIGABIT per second (Gbps) serial binary links

MULTI-GIGABIT per second (Gbps) serial binary links IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 8, AUGUST 2006 1867 A Digital Clock and Data Recovery Architecture for Multi-Gigabit/s Binary Links Jeff L. Sonntag and John Stonick, Member, IEEE Abstract

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

Cloud-Based Apps Drive the Need for Frequency-Flexible Clock Generators in Converged Data Center Networks

Cloud-Based Apps Drive the Need for Frequency-Flexible Clock Generators in Converged Data Center Networks Cloud-Based Apps Drive the Need for Frequency-Flexible Generators in Converged Data Center Networks Introduction By Phil Callahan, Senior Marketing Manager, Timing Products, Silicon Labs Skyrocketing network

More information

Four Wave Mixing in Closely Spaced DWDM Optical Channels

Four Wave Mixing in Closely Spaced DWDM Optical Channels 544 VOL. 1, NO. 2, AUGUST 2006 Four Wave Mixing in Closely Spaced DWDM Optical Channels Moncef Tayahi *, Sivakumar Lanka, and Banmali Rawat Advanced Photonics Research lab, Department of Electrical Engineering

More information

José A. Cobos, Pedro Alou Centro de Electrónica Industrial (CEI)

José A. Cobos, Pedro Alou Centro de Electrónica Industrial (CEI) José A. Cobos, Pedro Alou Centro de (CEI) Universidad Politécnica de Madrid www.cei.upm.es September 2008 Outline Motivation Cout, Current & voltage steps Optimal time control Analog implementations V

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

The Effect of Network Cabling on Bit Error Rate Performance. By Paul Kish NORDX/CDT

The Effect of Network Cabling on Bit Error Rate Performance. By Paul Kish NORDX/CDT The Effect of Network Cabling on Bit Error Rate Performance By Paul Kish NORDX/CDT Table of Contents Introduction... 2 Probability of Causing Errors... 3 Noise Sources Contributing to Errors... 4 Bit Error

More information

How PLL Performances Affect Wireless Systems

How PLL Performances Affect Wireless Systems May 2010 Issue: Tutorial Phase Locked Loop Systems Design for Wireless Infrastructure Applications Use of linear models of phase noise analysis in a closed loop to predict the baseline performance of various

More information

Clock Jitter Definitions and Measurement Methods

Clock Jitter Definitions and Measurement Methods January 2014 Clock Jitter Definitions and Measurement Methods 1 Introduction Jitter is the timing variations of a set of signal edges from their ideal values. Jitters in clock signals are typically caused

More information

(12) (10) Patent N0.: US 6,614,314 B2 d Haene et al. 45 Date 0f Patent: Se. 2 2003 (54) NON-LINEAR PHASE DETECTOR FOREIGN PATENT DOCUMENTS

(12) (10) Patent N0.: US 6,614,314 B2 d Haene et al. 45 Date 0f Patent: Se. 2 2003 (54) NON-LINEAR PHASE DETECTOR FOREIGN PATENT DOCUMENTS United States Patent US006614314B2 (12) (10) Patent N0.: US 6,614,314 B2 d Haene et al. 45 Date 0f Patent: Se. 2 2003 a (54) NON-LINEAR PHASE DETECTOR FOREIGN PATENT DOCUMENTS (75) Inventors: Wesley Calvin

More information