Lecture 2. High-Speed I/O

Size: px
Start display at page:

Download "Lecture 2. High-Speed I/O"

Transcription

1 Lecture 2 High-Speed I/O Mark Horowitz Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 by Mark Horowitz, with material from Stefanos Sidiropoulos, and Vladimir Stojanovic 1

2 Readings Readings Techniques for High-speed Implementation of Nonlinear Cancellation, Sanjay Kasturia and Jack H. Winters Overview: Your project will be the design of a circuit that processes the input data from a high-speed I/O. This processing is generally done in a mixed signal manner today, but your job will be to build a digital implementation of the algorithm. This lecture will try to give you some background about why I/O rates are important, and what issues need to be resolved to achieve high performance. The next lecture will discuss the operation of the circuit you need to build. 2

3 Computers Today CPU DVI, HDMI AGP, PCI-E FSB, HT DDR, RDRAM FBDIMM Display >1GB/s Graphics Controller >4GB/s System Controller >4GB/s Memory PCI-X PCI-E Storage Network I/O >0.1GB/s I/O Controller PCI*, *ATA, USB.. 3

4 Speed of Light: The Difference Between I/O and On-Chip Wires First question: Why is I/O different from on-chip wires? Both send signals to each other Gates send data to each other all the time Don t generally worry about signals, or delay Model the connection between gates as a capacitor Sometimes a capacitor/resistor network Answer: On-chip, ignore the speed of light, assume c infinite For external wires can t make that assumption Wire connecting the pins is not an equipotential References are different 4

5 Finite Speed of Light Ramifications Signals must have delay in reaching destination Td = L/ν, bits arrive at a different time than when sent Thus must determine right time to sample them Wires store energy Current is set by the geometry of wire (what else?) Signal can t see termination resistor (causality) V/I for the line is called the impedance, Z < 300 Ω When signal is traveling on the wire Power goes into the wire before it hits load Since energy is conserved, wire must be storing energy Signal is ALWAYS a pair of currents 5

6 Link Issues Signaling: getting the bit to the receiver R TERM R TERM Tx Channel Rx Timing: Determining which bit is which t bit /2 6

7 Transmission Lines Wire where you notice c is finite Current flows in one terminal And flows out the other Figure from John Poulton Energy is stored in E and B fields But can model with L, C 7

8 Problems : Material Loss Loss in GETEK : 1m, 8mil μstrip trace H(s) (transfer function) Frequency PCB Loss : skin & dielectric loss Skin Loss f Dielectric loss f : a bigger issue at higher f 8

9 Dealing With Current Return/References Wire Utilization: Single Ended shared signal return path Differential explicit signal return path - + Pseudo Differential ref - + 9

10 Transmission Lines Z2 Z Z1+ Z2 2Z Z1+ Z2 Z1 Z2 Two constraints govern behavior at any junction: Voltage are equal They are electrically connected Power is conserved Energy flow into junction is equal to transmitted and reflected 10

11 Z2 High-Speed Wires Are Point to Point Can t split a wire to go to two location You will get a reflection from the junction Z1 will see impedance discontinuity Z1 Z2 11

12 At High Speeds, Vias are Stubs Top layer signaling results in large via stub Signal energy splits at via If via is short can be modeled as a cap load Causes a reflection in signal Higher the frequency, the more sensitive you are to stubs 12

13 Backplane Environment Line card trace On-chip parasitic Package (termination resistance and device loading capacitance) Package via Back plane trace Back plane connector Line card via Backplane via Line attenuation Reflections from stubs (vias) 13

14 Backplane Channel Loss is variable Same backplane Different lengths Different stubs Top vs. Bot Attenuation is large 3GHz But is that bad? Attenuation [db] " FR4, via stub 9" FR4 26" FR4, via stub 26" FR frequency [GHz] 14

15 Inter-Symbol Interference (ISI) Channel is low pass Our nice short pulse gets spread out pulse response Tsymbol=160ps Dispersion short latency (skin-effect, dielectric loss) Reflections long latency (impedance mismatches connectors, via stubs, device parasitics, package) ns 15

16 ISI Error! Amplitude Symbol time Middle sample is corrupted by 0.2 trailing ISI (from the previous symbol), 0.1 leading ISI (from the next symbol) resulting in 0.3 total ISI As a result middle symbol is detected in error 16

17 Equalization For Loss : Goal is to Flatten Response + = Channel is band-limited Equalization : boost high-frequencies; or attenuate low freq 17

18 Equalization Mechanisms No equalization Tx equalization Amplitude Amplitude Symbol time Symbol time Tx equalization Pre-filter the pulse with the inverse of the channel Filters the low freq. to match attenuation of high freq. Rx feedback equalization Subtract the error from the signal 18

19 Removing ISI Linear transmit equalizer Tx Data Anticausal taps Sampled Data Deadband Feedback taps Channel Causal taps 50Ω d 50Ω outp outn d Tap Sel Logic Decision-feedback equalizer I eq0 Transmit and Receive Equalization Changes signal to correct for ISI Initial work was at transmitter J. Zerbe et al, "Design, Equalization and Clock Recovery for a Gb/s 2-PAM/4-PAM Backplane Transceiver Cell," IEEE Journal Solid-State Circuits, Dec

20 Transmit Equalization Headroom Constraint Tx Data Anticausal taps Peak power constraint Channel Attenuation [db] unequalized equalized Causal taps -20 frequency [GHz] Amplitude of equalized signal depends on the channel Transmit DAC has limited voltage headroom Unknown target signal levels Harder to make adaptive equalization work Need to tune the equalizer and receive comparator levels If you have multi-level signals 20

21 Removing Interference at Receiver Could also build a linear filter Could have gain in the filter But either it would need to be analog and have gain Or need high-speed A/D And real multiplication Sum (ai*xi) Increases channel noise too 21

22 High Frequency Channel Noise: Crosstalk Many sources On-chip Package PCB traces Inside connector Differential signaling can help Minimize xtalk generation & make effects common-mode Both NEXT & FEXT NEXT very destructive if RX and TX pairs are adjacent Full swing-tx coupling into attenuated RX signal Effect on SNR is multiplied by signal loss Simple solution : group RX/TX pairs in connector NEXT typically 3-6%, FEXT typically 1-3% 22

23 Subtract Out Residual Interference Called Decision feedback equalization (DFE) Subtracts error from input No attenuation Feedback equalization Problem with DFE Need to know interfering bits ISI must be causal Problem - latency in the decision circuit Receive latency + DAC settling < bit time Can increase allowable time by loop unrolling Receive next bit before the previous is resolved Amplitude Symbol time 23

24 Removing ISI Linear transmit equalizer Tx Data Anticausal taps Sampled Data Deadband Feedback taps Channel Causal taps 50Ω d 50Ω outp outn d Tap Sel Logic Decision-feedback equalizer I eq0 Transmit and Receive Equalization Changes signal to correct for ISI Initial work was at transmitter J. Zerbe et al, "Design, Equalization and Clock Recovery for a Gb/s 2-PAM/4-PAM Backplane Transceiver Cell," IEEE Journal Solid-State Circuits, Dec

25 One Bit Loop Unrolling (for 2 level signal) 2PAM signal constellation αd 1 +α K.K. Parhi, "High-Speed architectures for algorithms with quantizer loops," IEEE International Symposium on Circuits and Systems, May α +1 1 α +α +α 1 α + α 1 = 1 d n d n 0 α α x n dclk DQ d n α 1 α 1 +α 1 α α dclk 1 = 0 d n d n Instead of subtracting the error Move the slicer level to include the interference Slice for each possible level, since previous value unknown 25

26 More Bits/Hz Multi-level signaling (aka PAM) Convert extra voltage margin to more bits Works well when the noise is small Need even more signal processing 26

27 Internal Speed Limitation Links need good quality clocks with low jitter That means you want them to settle to both Vdd, and Gnd If you make the clock to fast, it will not rail And that means it will be prone to jitter So one limitation for links is internal clock rate For power efficiency want FO on clock to be around 4 Need pulse width 3-4 times the slowest gate Gives around 8 FO4 clock For higher speed bit rates Need to generate multiple bits/clock Use non-static CMOS clock circuits (CML & inductors) 27

28 Simple Demultiplexing Receiver Input Data_E in ref pre latch Data_O clk clk 2-1 demux at the input Preconditioning stage: filter/integrate, can be clocked to avoid ISI Reject CM Sometimes not used Latch makes decision (4-FO4) 28

29 Simple Multiplexing Transmitter DDR: send a bit per clock edge Critical issues: 50% duty cycle Tbit > 4-FO4 Data_O Data_E output pulse width closure (%) bit time (normalized to FO4) 29

30 I/O Clocking Issues Remember the clocking issues: Long path constraint (setup time) Short path constraint (hold time) Need to worry about them for I/O as well For I/O need to worry about a number of delays Clock skew between chips Data delay between chips Can be larger than a clock cycle (speed of light) Clock skew between external clock and internal clock This can be very large if not compensated It is essentially the insertion delay of the clock tree 30

31 System Clocking: Simple Synchronous Systems d1 CK X CK X D I CK C1 CK C2 D I d2 on-chip logic CK C1 CK C2 Long bit times compared to on chip delays: Rely on buffer delays to achieve adequate timing margin 31

32 PLLs: Creating Zero Delay Buffers PLL/DLL CK X CK C CK X D I on-chip logic D I CK C On-chip clock might be a multiple of system clock: Synthesize on-chip clock frequency On-chip buffer delays do not match Cancel clock buffer delay 32

33 Used to Argue About PLLs vs DLLs VCO VCDL clk clk N ref clk PD ref clk PD Filter Filter Second/third order loop: Stability is an issue Frequency synthesis easy Ref. Clk jitter gets filtered Phase error accumulates First order loop: Stability guaranteed Frequency synthesis problematic Ref. Clk jitter propagates Phase error does not accumulate 33

34 After Many Years of Research And many papers and products One can mess up either a DLL or PLL Each has it own strengths and weaknesses If designed correctly, either will work well Jitter will be dominated by other sources Many good designs have been published It is now a building block that is often reused We all have our favorites, mine is the dual-loop design And yes, people use ring oscillators Still an open question about how much LC helps (in system) 34

35 Clocking Structures Synchronous: Same frequency and phase Conventional buses t t F 0 Mesochronous Same frequency, unknown phase Fast memories Internal system interfaces MAC/Packet interfaces t A t A t B F 0 t B Plesiochronous: Almost the same frequency Mostly everything else today F 1 F 2 F 1 F 2 35

36 Source Synchronous Systems CK SRC PLL/DLL CK RCV data rcvr logic ref CK SRC data D 0 D 1 D 2 D 3 CK RCV Position on-chip sampling clock at the optimal point i.e. maximize timing margin 36

37 Serial Link Circuit CK R rcvr logic D IN D 0 D 1 D IN CDR CK R Recover incoming data fundamental frequency Position sampling clock at the optimal point 37

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 Lecture 25: Clocking Architectures Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary

More information

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 13.7 A 40Gb/s Clock and Data Recovery Circuit in 0.18µm CMOS Technology Jri Lee, Behzad Razavi University of California, Los Angeles, CA

More information

11. High-Speed Differential Interfaces in Cyclone II Devices

11. High-Speed Differential Interfaces in Cyclone II Devices 11. High-Speed Differential Interfaces in Cyclone II Devices CII51011-2.2 Introduction From high-speed backplane applications to high-end switch boxes, low-voltage differential signaling (LVDS) is the

More information

ECEN474: (Analog) VLSI Circuit Design Fall 2010

ECEN474: (Analog) VLSI Circuit Design Fall 2010 ECEN474: (Analog) VLSI Circuit Design Fall 2010 Lecture 26: High-Speed I/O Overview Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary report due Nov 19 This

More information

Using Pre-Emphasis and Equalization with Stratix GX

Using Pre-Emphasis and Equalization with Stratix GX Introduction White Paper Using Pre-Emphasis and Equalization with Stratix GX New high speed serial interfaces provide a major benefit to designers looking to provide greater data bandwidth across the backplanes

More information

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1 ing Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle 6.884 - Spring 2005 2/18/05

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7 4.7 A 2.7 Gb/s CDMA-Interconnect Transceiver Chip Set with Multi-Level Signal Data Recovery for Re-configurable VLSI Systems

More information

Clock Recovery in Serial-Data Systems Ransom Stephens, Ph.D.

Clock Recovery in Serial-Data Systems Ransom Stephens, Ph.D. Clock Recovery in Serial-Data Systems Ransom Stephens, Ph.D. Abstract: The definition of a bit period, or unit interval, is much more complicated than it looks. If it were just the reciprocal of the data

More information

Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions

Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions PCI Express Bus In Today s Market PCI Express, or PCIe, is a relatively new serial pointto-point bus in PCs. It was introduced as an AGP

More information

IDT80HSPS1616 PCB Design Application Note - 557

IDT80HSPS1616 PCB Design Application Note - 557 IDT80HSPS1616 PCB Design Application Note - 557 Introduction This document is intended to assist users to design in IDT80HSPS1616 serial RapidIO switch. IDT80HSPS1616 based on S-RIO 2.0 spec offers 5Gbps

More information

PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide

PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide Application Note PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide Introduction This document explains how to design a PCB with Prolific PL-277x SuperSpeed USB 3.0 SATA Bridge

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

Equalization/Compensation of Transmission Media. Channel (copper or fiber)

Equalization/Compensation of Transmission Media. Channel (copper or fiber) Equalization/Compensation of Transmission Media Channel (copper or fiber) 1 Optical Receiver Block Diagram O E TIA LA EQ CDR DMUX -18 dbm 10 µa 10 mv p-p 400 mv p-p 2 Copper Cable Model Copper Cable 4-foot

More information

6.976 High Speed Communication Circuits and Systems Lecture 1 Overview of Course

6.976 High Speed Communication Circuits and Systems Lecture 1 Overview of Course 6.976 High Speed Communication Circuits and Systems Lecture 1 Overview of Course Michael Perrott Massachusetts Institute of Technology Copyright 2003 by Michael H. Perrott Wireless Systems Direct conversion

More information

Jitter in PCIe application on embedded boards with PLL Zero delay Clock buffer

Jitter in PCIe application on embedded boards with PLL Zero delay Clock buffer Jitter in PCIe application on embedded boards with PLL Zero delay Clock buffer Hermann Ruckerbauer EKH - EyeKnowHow 94469 Deggendorf, Germany Hermann.Ruckerbauer@EyeKnowHow.de Agenda 1) PCI-Express Clocking

More information

High Speed Inter-chip Signaling in CMOS

High Speed Inter-chip Signaling in CMOS High Speed Inter-chip Signaling in CMOS Area Exam Jim Goodman May 5, 2000 The Problem Moore s Law and Rent s Rule Speed & functionality double every 18 months Pin bandwidth not growing as quickly [Dally97]

More information

High-Speed Electronics

High-Speed Electronics High-Speed Electronics Mentor User Conference 2005 - München Dr. Alex Huber, hubera@zma.ch Zentrum für Mikroelektronik Aargau, 5210 Windisch, Switzerland www.zma.ch Page 1 Outline 1. Motivation 2. Speed

More information

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram Quad PLL with VCXO Quick Turn Clock Description The ICS379 QTClock TM generates up to 9 high quality, high frequency clock outputs including a reference from a low frequency pullable crystal. It is designed

More information

A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link

A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link Kang jik Kim, Ki sang Jeong, Seong ik Cho The Department of Electronics Engineering Chonbuk National

More information

Fiber Optic Communications Educational Toolkit

Fiber Optic Communications Educational Toolkit Fiber Optic Communications Educational Toolkit ASEE National Conference Summer 2008 Dr. Akram Abu-aisheh & Dr. Jonathan Hill Introduction The main motive for this work was the need for a low cost laboratory

More information

DS2187 Receive Line Interface

DS2187 Receive Line Interface Receive Line Interface www.dalsemi.com FEATURES Line interface for T1 (1.544 MHz) and CEPT (2.048 MHz) primary rate networks Extracts clock and data from twisted pair or coax Meets requirements of PUB

More information

Introduction to Digital Subscriber s Line (DSL)

Introduction to Digital Subscriber s Line (DSL) Introduction to Digital Subscriber s Line (DSL) Professor Fu Li, Ph.D., P.E. Chapter 3 DSL Fundementals BASIC CONCEPTS maximizes the transmission distance by use of modulation techniques but generally

More information

TRIPLE PLL FIELD PROG. SPREAD SPECTRUM CLOCK SYNTHESIZER. Features

TRIPLE PLL FIELD PROG. SPREAD SPECTRUM CLOCK SYNTHESIZER. Features DATASHEET ICS280 Description The ICS280 field programmable spread spectrum clock synthesizer generates up to four high-quality, high-frequency clock outputs including multiple reference clocks from a low-frequency

More information

AVX EMI SOLUTIONS Ron Demcko, Fellow of AVX Corporation Chris Mello, Principal Engineer, AVX Corporation Brian Ward, Business Manager, AVX Corporation

AVX EMI SOLUTIONS Ron Demcko, Fellow of AVX Corporation Chris Mello, Principal Engineer, AVX Corporation Brian Ward, Business Manager, AVX Corporation AVX EMI SOLUTIONS Ron Demcko, Fellow of AVX Corporation Chris Mello, Principal Engineer, AVX Corporation Brian Ward, Business Manager, AVX Corporation Abstract EMC compatibility is becoming a key design

More information

PCB Design Conference - East Keynote Address EMC ASPECTS OF FUTURE HIGH SPEED DIGITAL DESIGNS

PCB Design Conference - East Keynote Address EMC ASPECTS OF FUTURE HIGH SPEED DIGITAL DESIGNS OOOO1 PCB Design Conference - East Keynote Address September 12, 2000 EMC ASPECTS OF FUTURE HIGH SPEED DIGITAL DESIGNS By Henry Ott Consultants Livingston, NJ 07039 (973) 992-1793 www.hottconsultants.com

More information

ZL40221 Precision 2:6 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet

ZL40221 Precision 2:6 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet Features Inputs/Outputs Accepts two differential or single-ended inputs LVPECL, LVDS, CML, HCSL, LVCMOS Glitch-free switching of references On-chip input termination and biasing for AC coupled inputs Six

More information

A 1.62/2.7/5.4 Gbps Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO

A 1.62/2.7/5.4 Gbps Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.3, JUNE, 2013 http://dx.doi.org/10.5573/jsts.2013.13.3.185 A 1.62/2.7/5.4 Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO

More information

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems Harris Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH

More information

Signal Integrity: Tips and Tricks

Signal Integrity: Tips and Tricks White Paper: Virtex-II, Virtex-4, Virtex-5, and Spartan-3 FPGAs R WP323 (v1.0) March 28, 2008 Signal Integrity: Tips and Tricks By: Austin Lesea Signal integrity (SI) engineering has become a necessary

More information

1+1 PROTECTION WITHOUT RELAYS USING IDT82V2044/48/48L & IDT82V2054/58/58L HITLESS PROTECTION SWITCHING

1+1 PROTECTION WITHOUT RELAYS USING IDT82V2044/48/48L & IDT82V2054/58/58L HITLESS PROTECTION SWITCHING 1+1 PROTECTION WITHOUT RELAYS USING IDT82V2044/48/48L & IDT82V2054/58/58L APPLICATION NOTE AN-357 1.0 INTRODUCTION In today's highly competitive market, high quality of service, QOS, and reliability is

More information

Equalization for High-Speed Serial Interfaces in Xilinx 7 Series FPGA Transceivers

Equalization for High-Speed Serial Interfaces in Xilinx 7 Series FPGA Transceivers White Paper: 7 Series FPGAs WP419 (v1.0) March 27, 2012 Equalization for High-Speed Serial Interfaces in Xilinx 7 Series FPGA Transceivers By: Harry Fu The appetite for data is exploding, and the industry

More information

Loop Bandwidth and Clock Data Recovery (CDR) in Oscilloscope Measurements. Application Note 1304-6

Loop Bandwidth and Clock Data Recovery (CDR) in Oscilloscope Measurements. Application Note 1304-6 Loop Bandwidth and Clock Data Recovery (CDR) in Oscilloscope Measurements Application Note 1304-6 Abstract Time domain measurements are only as accurate as the trigger signal used to acquire them. Often

More information

Eye Doctor II Advanced Signal Integrity Tools

Eye Doctor II Advanced Signal Integrity Tools Eye Doctor II Advanced Signal Integrity Tools EYE DOCTOR II ADVANCED SIGNAL INTEGRITY TOOLS Key Features Eye Doctor II provides the channel emulation and de-embedding tools Adds precision to signal integrity

More information

Signal integrity in deep-sub-micron integrated circuits

Signal integrity in deep-sub-micron integrated circuits Signal integrity in deep-sub-micron integrated circuits Alessandro Bogliolo abogliolo@ing.unife.it Outline Introduction General signaling scheme Noise sources and effects in DSM ICs Supply noise Synchronization

More information

SPREAD SPECTRUM CLOCK GENERATOR. Features

SPREAD SPECTRUM CLOCK GENERATOR. Features DATASHEET ICS7152 Description The ICS7152-01, -02, -11, and -12 are clock generators for EMI (Electro Magnetic Interference) reduction (see below for frequency ranges and multiplier ratios). Spectral peaks

More information

Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs

Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs Ikchan Jang 1, Soyeon Joo 1, SoYoung Kim 1, Jintae Kim 2, 1 College of Information and Communication Engineering, Sungkyunkwan University,

More information

AN ESTIMATION APPROACH TO CLOCK AND DATA RECOVERY

AN ESTIMATION APPROACH TO CLOCK AND DATA RECOVERY AN ESTIMATION APPROACH TO CLOCK AND DATA RECOVERY A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT

More information

Grounding Demystified

Grounding Demystified Grounding Demystified 3-1 Importance Of Grounding Techniques 45 40 35 30 25 20 15 10 5 0 Grounding 42% Case 22% Cable 18% Percent Used Filter 12% PCB 6% Grounding 42% Case Shield 22% Cable Shielding 18%

More information

Lecture 11: Sequential Circuit Design

Lecture 11: Sequential Circuit Design Lecture 11: Sequential Circuit esign Outline Sequencing Sequencing Element esign Max and Min-elay Clock Skew Time Borrowing Two-Phase Clocking 2 Sequencing Combinational logic output depends on current

More information

Figure 1 FPGA Growth and Usage Trends

Figure 1 FPGA Growth and Usage Trends White Paper Avoiding PCB Design Mistakes in FPGA-Based Systems System design using FPGAs is significantly different from the regular ASIC and processor based system design. In this white paper, we will

More information

BURST-MODE communication relies on very fast acquisition

BURST-MODE communication relies on very fast acquisition IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 8, AUGUST 2005 437 Instantaneous Clockless Data Recovery and Demultiplexing Behnam Analui and Ali Hajimiri Abstract An alternative

More information

LatticeECP3 High-Speed I/O Interface

LatticeECP3 High-Speed I/O Interface April 2013 Introduction Technical Note TN1180 LatticeECP3 devices support high-speed I/O interfaces, including Double Data Rate (DDR) and Single Data Rate (SDR) interfaces, using the logic built into the

More information

Phase-Locked Loop Based Clock Generators

Phase-Locked Loop Based Clock Generators Phase-Locked Loop Based Clock Generators INTRODUCTION As system clock frequencies reach 100 MHz and beyond maintaining control over clock becomes very important In addition to generating the various clocks

More information

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology Topics of Chapter 5 Sequential Machines Memory elements Memory elements. Basics of sequential machines. Clocking issues. Two-phase clocking. Testing of combinational (Chapter 4) and sequential (Chapter

More information

Signal Types and Terminations

Signal Types and Terminations Helping Customers Innovate, Improve & Grow Application Note Signal Types and Terminations Introduction., H, LV, Sinewave, Clipped Sinewave, TTL, PECL,,, CML Oscillators and frequency control devices come

More information

8B/10B Coding 64B/66B Coding

8B/10B Coding 64B/66B Coding 8B/10B Coding 64B/66B Coding 1. Transmission Systems 2. 8B/10B Coding 3. 64B/66B Coding 4. CIP Demonstrator Test Setup PeterJ Slide 1 Transmission system General Data Clock D C Flip Flop Q @ 1 Gbps = 1

More information

Latch Timing Parameters. Flip-flop Timing Parameters. Typical Clock System. Clocking Overhead

Latch Timing Parameters. Flip-flop Timing Parameters. Typical Clock System. Clocking Overhead Clock - key to synchronous systems Topic 7 Clocking Strategies in VLSI Systems Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Clocks help the design of FSM where

More information

Managing High-Speed Clocks

Managing High-Speed Clocks Managing High-Speed s & Greg Steinke Director, Component Applications Managing High-Speed s Higher System Performance Requires Innovative ing Schemes What Are The Possibilities? High-Speed ing Schemes

More information

Designing the NEWCARD Connector Interface to Extend PCI Express Serial Architecture to the PC Card Modular Form Factor

Designing the NEWCARD Connector Interface to Extend PCI Express Serial Architecture to the PC Card Modular Form Factor Designing the NEWCARD Connector Interface to Extend PCI Express Serial Architecture to the PC Card Modular Form Factor Abstract This paper provides information about the NEWCARD connector and board design

More information

Clocks Basics in 10 Minutes or Less. Edgar Pineda Field Applications Engineer Arrow Components Mexico

Clocks Basics in 10 Minutes or Less. Edgar Pineda Field Applications Engineer Arrow Components Mexico Clocks Basics in 10 Minutes or Less Edgar Pineda Field Applications Engineer Arrow Components Mexico Presentation Overview Introduction to Clocks Clock Functions Clock Parameters Common Applications Summary

More information

Chapter 6: From Digital-to-Analog and Back Again

Chapter 6: From Digital-to-Analog and Back Again Chapter 6: From Digital-to-Analog and Back Again Overview Often the information you want to capture in an experiment originates in the laboratory as an analog voltage or a current. Sometimes you want to

More information

Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits

Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits by David J. Rennie A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for the degree of

More information

Chapter 6 PLL and Clock Generator

Chapter 6 PLL and Clock Generator Chapter 6 PLL and Clock Generator The DSP56300 core features a Phase Locked Loop (PLL) clock generator in its central processing module. The PLL allows the processor to operate at a high internal clock

More information

A 10-Gb/s CMOS Clock and Data Recovery Circuit with a Half-Rate Linear Phase Detector

A 10-Gb/s CMOS Clock and Data Recovery Circuit with a Half-Rate Linear Phase Detector IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 761 A 10-Gb/s CMOS Clock and Data Recovery Circuit with a Half-Rate Linear Phase Detector Jafar Savoj, Student Member, IEEE, and Behzad Razavi,

More information

Application Note. PCIEC-85 PCI Express Jumper. High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s

Application Note. PCIEC-85 PCI Express Jumper. High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s PCIEC-85 PCI Express Jumper High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark

More information

Lecture 24. Inductance and Switching Power Supplies (how your solar charger voltage converter works)

Lecture 24. Inductance and Switching Power Supplies (how your solar charger voltage converter works) Lecture 24 Inductance and Switching Power Supplies (how your solar charger voltage converter works) Copyright 2014 by Mark Horowitz 1 Roadmap: How Does This Work? 2 Processor Board 3 More Detailed Roadmap

More information

USB 3.0 CDR Model White Paper Revision 0.5

USB 3.0 CDR Model White Paper Revision 0.5 USB 3.0 CDR Model White Paper Revision 0.5 January 15, 2009 INTELLECTUAL PROPERTY DISCLAIMER THIS WHITE PAPER IS PROVIDED TO YOU AS IS WITH NO WARRANTIES WHATSOEVER, INCLUDING ANY WARRANTY OF MERCHANTABILITY,

More information

Lecture 10: Sequential Circuits

Lecture 10: Sequential Circuits Introduction to CMOS VLSI esign Lecture 10: Sequential Circuits avid Harris Harvey Mudd College Spring 2004 Outline q Sequencing q Sequencing Element esign q Max and Min-elay q Clock Skew q Time Borrowing

More information

The Future of Multi-Clock Systems

The Future of Multi-Clock Systems NEL FREQUENCY CONTROLS, INC. 357 Beloit Street P.O. Box 457 Burlington,WI 53105-0457 Phone:262/763-3591 FAX:262/763-2881 Web Site: www.nelfc.com Internet: sales@nelfc.com The Future of Multi-Clock Systems

More information

4 OUTPUT PCIE GEN1/2 SYNTHESIZER IDT5V41186

4 OUTPUT PCIE GEN1/2 SYNTHESIZER IDT5V41186 DATASHEET IDT5V41186 Recommended Applications 4 Output synthesizer for PCIe Gen1/2 General Description The IDT5V41186 is a PCIe Gen2 compliant spread-spectrum-capable clock generator. The device has 4

More information

Lezione 6 Communications Blockset

Lezione 6 Communications Blockset Corso di Tecniche CAD per le Telecomunicazioni A.A. 2007-2008 Lezione 6 Communications Blockset Ing. Marco GALEAZZI 1 What Is Communications Blockset? Communications Blockset extends Simulink with a comprehensive

More information

ICS514 LOCO PLL CLOCK GENERATOR. Description. Features. Block Diagram DATASHEET

ICS514 LOCO PLL CLOCK GENERATOR. Description. Features. Block Diagram DATASHEET DATASHEET ICS514 Description The ICS514 LOCO TM is the most cost effective way to generate a high-quality, high-frequency clock output from a 14.31818 MHz crystal or clock input. The name LOCO stands for

More information

Title: Low EMI Spread Spectrum Clock Oscillators

Title: Low EMI Spread Spectrum Clock Oscillators Title: Low EMI oscillators Date: March 3, 24 TN No.: TN-2 Page 1 of 1 Background Title: Low EMI Spread Spectrum Clock Oscillators Traditional ways of dealing with EMI (Electronic Magnetic Interference)

More information

Application Note AN:005. FPA Printed Circuit Board Layout Guidelines. Introduction Contents. The Importance of Board Layout

Application Note AN:005. FPA Printed Circuit Board Layout Guidelines. Introduction Contents. The Importance of Board Layout FPA Printed Circuit Board Layout Guidelines By Paul Yeaman Principal Product Line Engineer V I Chip Strategic Accounts Introduction Contents Page Introduction 1 The Importance of 1 Board Layout Low DC

More information

Lecture 7: Clocking of VLSI Systems

Lecture 7: Clocking of VLSI Systems Lecture 7: Clocking of VLSI Systems MAH, AEN EE271 Lecture 7 1 Overview Reading Wolf 5.3 Two-Phase Clocking (good description) W&E 5.5.1, 5.5.2, 5.5.3, 5.5.4, 5.5.9, 5.5.10 - Clocking Note: The analysis

More information

A 1.7 Gbps DLL-Based Clock Data Recovery for a Serial Display Interface in 0.35-μm CMOS

A 1.7 Gbps DLL-Based Clock Data Recovery for a Serial Display Interface in 0.35-μm CMOS A 1.7 Gbps DLL-Based Clock Data Recovery for a Serial Display Interface in 0.35-μm CMOS Yong-Hwan Moon, Sang-Ho Kim, Tae-Ho Kim, Hyung-Min Park, and Jin-Ku Kang This paper presents a delay-locked-loop

More information

Application Note 58 Crystal Considerations with Dallas Real Time Clocks

Application Note 58 Crystal Considerations with Dallas Real Time Clocks www.dalsemi.com Application Note 58 Crystal Considerations with Dallas Real Time Clocks Dallas Semiconductor offers a variety of real time clocks (RTCs). The majority of these are available either as integrated

More information

The Bus (PCI and PCI-Express)

The Bus (PCI and PCI-Express) 4 Jan, 2008 The Bus (PCI and PCI-Express) The CPU, memory, disks, and all the other devices in a computer have to be able to communicate and exchange data. The technology that connects them is called the

More information

ICS650-44 SPREAD SPECTRUM CLOCK SYNTHESIZER. Description. Features. Block Diagram DATASHEET

ICS650-44 SPREAD SPECTRUM CLOCK SYNTHESIZER. Description. Features. Block Diagram DATASHEET DATASHEET ICS650-44 Description The ICS650-44 is a spread spectrum clock synthesizer intended for video projector and digital TV applications. It generates three copies of an EMI optimized 50 MHz clock

More information

Features. Modulation Frequency (khz) VDD. PLL Clock Synthesizer with Spread Spectrum Circuitry GND

Features. Modulation Frequency (khz) VDD. PLL Clock Synthesizer with Spread Spectrum Circuitry GND DATASHEET IDT5P50901/2/3/4 Description The IDT5P50901/2/3/4 is a family of 1.8V low power, spread spectrum clock generators capable of reducing EMI radiation from an input clock. Spread spectrum technique

More information

Explore Efficient Test Approaches for PCIe at 16GT/s Kalev Sepp Principal Engineer Tektronix, Inc

Explore Efficient Test Approaches for PCIe at 16GT/s Kalev Sepp Principal Engineer Tektronix, Inc Explore Efficient Test Approaches for PCIe at 16GT/s Kalev Sepp Principal Engineer Tektronix, Inc Copyright 2015, PCI-SIG, All Rights Reserved 1 Disclaimer Presentation Disclaimer: All opinions, judgments,

More information

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING BARIS TASKIN, JOHN WOOD, IVAN S. KOURTEV February 28, 2005 Research Objective Objective: Electronic design automation

More information

Transmission of High-Speed Serial Signals Over Common Cable Media

Transmission of High-Speed Serial Signals Over Common Cable Media Transmission of High-Speed Serial February 0 Introduction Technical Note TN066 Designers are often faced with moving serial data from one location to another, over moderate distances, and in the most efficient

More information

An Engineer s Guide to Full Compliance for CAT 6A Connecting Hardware

An Engineer s Guide to Full Compliance for CAT 6A Connecting Hardware for CAT 6A Connecting Hardware Written by: Antoine Pelletier Engineer, Global Cabling Products Intertek www.intertek-etlsemko.com 1-800-WORLDLAB Introduction The telecommunication industry recently achieved

More information

" PCB Layout for Switching Regulators "

 PCB Layout for Switching Regulators 1 " PCB Layout for Switching Regulators " 2 Introduction Linear series pass regulator I L V IN V OUT GAIN REF R L Series pass device drops the necessary voltage to maintain V OUT at it s programmed value

More information

ICS9148-32. Pentium/Pro TM System Clock Chip. Integrated Circuit Systems, Inc. General Description. Pin Configuration.

ICS9148-32. Pentium/Pro TM System Clock Chip. Integrated Circuit Systems, Inc. General Description. Pin Configuration. Integrated Circuit Systems, Inc. ICS948-32 Pentium/Pro TM System Clock Chip General Description The ICS948-32 is a Clock Synthesizer chip for Pentium and PentiumPro CPU based Desktop/Notebook systems that

More information

Guidelines for Designing High-Speed FPGA PCBs

Guidelines for Designing High-Speed FPGA PCBs Guidelines for Designing High-Speed FPGA PCBs February 2004, ver. 1.1 Application Note Introduction Over the past five years, the development of true analog CMOS processes has led to the use of high-speed

More information

Timing Errors and Jitter

Timing Errors and Jitter Timing Errors and Jitter Background Mike Story In a sampled (digital) system, samples have to be accurate in level and time. The digital system uses the two bits of information the signal was this big

More information

Jitter Transfer Functions in Minutes

Jitter Transfer Functions in Minutes Jitter Transfer Functions in Minutes In this paper, we use the SV1C Personalized SerDes Tester to rapidly develop and execute PLL Jitter transfer function measurements. We leverage the integrated nature

More information

W a d i a D i g i t a l

W a d i a D i g i t a l Wadia Decoding Computer Overview A Definition What is a Decoding Computer? The Wadia Decoding Computer is a small form factor digital-to-analog converter with digital pre-amplifier capabilities. It is

More information

Fairchild Solutions for 133MHz Buffered Memory Modules

Fairchild Solutions for 133MHz Buffered Memory Modules AN-5009 Fairchild Semiconductor Application Note April 1999 Revised December 2000 Fairchild Solutions for 133MHz Buffered Memory Modules Fairchild Semiconductor provides several products that are compatible

More information

Eatman Associates 2014 Rockwall TX 800-388-4036 rev. October 1, 2014. Striplines and Microstrips (PCB Transmission Lines)

Eatman Associates 2014 Rockwall TX 800-388-4036 rev. October 1, 2014. Striplines and Microstrips (PCB Transmission Lines) Eatman Associates 2014 Rockwall TX 800-388-4036 rev. October 1, 2014 Striplines and Microstrips (PCB Transmission Lines) Disclaimer: This presentation is merely a compilation of information from public

More information

QAM Demodulation. Performance Conclusion. o o o o o. (Nyquist shaping, Clock & Carrier Recovery, AGC, Adaptive Equaliser) o o. Wireless Communications

QAM Demodulation. Performance Conclusion. o o o o o. (Nyquist shaping, Clock & Carrier Recovery, AGC, Adaptive Equaliser) o o. Wireless Communications 0 QAM Demodulation o o o o o Application area What is QAM? What are QAM Demodulation Functions? General block diagram of QAM demodulator Explanation of the main function (Nyquist shaping, Clock & Carrier

More information

Enhanced Category 5 Cabling System Engineering for Performance

Enhanced Category 5 Cabling System Engineering for Performance Engineering for Performance Table of Contents 2 Introduction 2 Where Did It Come From? 2 Enhanced Category 5 Performance What to Test The Link The Channel Don t Forget the Cable Assembly 4 Performance

More information

T = 1 f. Phase. Measure of relative position in time within a single period of a signal For a periodic signal f(t), phase is fractional part t p

T = 1 f. Phase. Measure of relative position in time within a single period of a signal For a periodic signal f(t), phase is fractional part t p Data Transmission Concepts and terminology Transmission terminology Transmission from transmitter to receiver goes over some transmission medium using electromagnetic waves Guided media. Waves are guided

More information

On Cables and Connections A discussion by Dr. J. Kramer

On Cables and Connections A discussion by Dr. J. Kramer KRAMER ELECTRONICS LTD. On Cables and Connections A discussion by Dr. J. Kramer We are frequently asked - "what length of cable can I use for a specific application?" Seemingly a simple question, but the

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

Lecture 3: Signaling and Clock Recovery. CSE 123: Computer Networks Stefan Savage

Lecture 3: Signaling and Clock Recovery. CSE 123: Computer Networks Stefan Savage Lecture 3: Signaling and Clock Recovery CSE 123: Computer Networks Stefan Savage Last time Protocols and layering Application Presentation Session Transport Network Datalink Physical Application Transport

More information

ANN Based Modeling of High Speed IC Interconnects. Q.J. Zhang, Carleton University

ANN Based Modeling of High Speed IC Interconnects. Q.J. Zhang, Carleton University ANN Based Modeling of High Speed IC Interconnects Needs for Repeated Simulation Signal integrity optimization Iterative design and re-optimization Monte-Carlo analysis Yield optimization Iterative design

More information

DS2186. Transmit Line Interface FEATURES PIN ASSIGNMENT

DS2186. Transmit Line Interface FEATURES PIN ASSIGNMENT DS2186 Transmit Line Interface FEATURES Line interface for T1 (1.544 MHz) and CEPT (2.048 MHz) primary rate networks PIN ASSIGNMENT TAIS 1 20 LCLK On chip transmit LBO (line build out) and line drivers

More information

1. Memory technology & Hierarchy

1. Memory technology & Hierarchy 1. Memory technology & Hierarchy RAM types Advances in Computer Architecture Andy D. Pimentel Memory wall Memory wall = divergence between CPU and RAM speed We can increase bandwidth by introducing concurrency

More information

How To Get A Better Signal From A Fiber To A Coax Cable

How To Get A Better Signal From A Fiber To A Coax Cable Gigabit Transmission What s the Limit? Fanny Mlinarsky Page 1 What s the Limit? Speed Faster higher frequency higher attenuation less headroom Distance Longer higher attenuation more jitter less headroom

More information

A 125-MHz Mixed-Signal Echo Canceller for Gigabit Ethernet on Copper Wire

A 125-MHz Mixed-Signal Echo Canceller for Gigabit Ethernet on Copper Wire 366 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 3, MARCH 2001 A 125-MHz Mixed-Signal Echo Canceller for Gigabit Ethernet on Copper Wire Tai-Cheng Lee and Behzad Razavi, Member, IEEE Abstract A discrete-time

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SEET For a complete data sheet, please also download: The IC6 74C/CT/CU/CMOS Logic Family Specifications The IC6 74C/CT/CU/CMOS Logic Package Information The IC6 74C/CT/CU/CMOS

More information

Computer buses and interfaces

Computer buses and interfaces FYS3240 PC-based instrumentation and microcontrollers Computer buses and interfaces Spring 2011 Lecture #5 Bekkeng 15.1.2011 The most common data acquisition buses available today Internal computer buses

More information

MODULATION Systems (part 1)

MODULATION Systems (part 1) Technologies and Services on Digital Broadcasting (8) MODULATION Systems (part ) "Technologies and Services of Digital Broadcasting" (in Japanese, ISBN4-339-62-2) is published by CORONA publishing co.,

More information

KVPX CONNECTOR SERIES HIGH SPEED SIGNAL INTEGRITY REPORT

KVPX CONNECTOR SERIES HIGH SPEED SIGNAL INTEGRITY REPORT KVPX CONNECTOR SERIES HIGH SPEED SIGNAL INTEGRITY REPORT CONTENTS 1 2 3 3 3 3 3 4 4 4 5 6 7 HIGH SPEED DATA TRANSFER Market Drivers for High Speed Signal Integrity Key Characteristics Impedance Matching

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science. 6.002 Electronic Circuits Spring 2007

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science. 6.002 Electronic Circuits Spring 2007 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.002 Electronic Circuits Spring 2007 Lab 4: Audio Playback System Introduction In this lab, you will construct,

More information

Wireless Security Camera

Wireless Security Camera Wireless Security Camera Technical Manual 12/14/2001 Table of Contents Page 1.Overview 3 2. Camera Side 4 1.Camera 5 2. Motion Sensor 5 3. PIC 5 4. Transmitter 5 5. Power 6 3. Computer Side 7 1.Receiver

More information