Lecture 0: Introduction

Similar documents
Fabrication and Manufacturing (Basics) Batch processes

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

The MOSFET Transistor

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Introduction to CMOS VLSI Design

AN900 APPLICATION NOTE

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Advanced VLSI Design CMOS Processing Technology

VLSI Fabrication Process

Module 7 : I/O PADs Lecture 33 : I/O PADs

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

Semiconductor doping. Si solar Cell

Field-Effect (FET) transistors

Solar Photovoltaic (PV) Cells

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

Graduate Student Presentations

CONTENTS. Preface Energy bands of a crystal (intuitive approach)

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i

Semiconductors, diodes, transistors

Digital Integrated Circuit (IC) Layout and Design - Week 3, Lecture 5

Crystalline solids. A solid crystal consists of different atoms arranged in a periodic structure.

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D.

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad

Silicon-On-Glass MEMS. Design. Handbook

ECE124 Digital Circuits and Systems Page 1

Photolithography. Class: Figure Various ways in which dust particles can interfere with photomask patterns.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Fabrication of PN-Junction Diode by IC- Fabrication process

Solar Energy Discovery Lab

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

ECE 410: VLSI Design Course Introduction

Lezioni di Tecnologie e Materiali per l Elettronica

CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor

Solid-State Physics: The Theory of Semiconductors (Ch ) SteveSekula, 30 March 2010 (created 29 March 2010)

Theory of Transistors and Other Semiconductor Devices

Lecture 9. Surface Treatment, Coating, Cleaning

Nanotechnologies for the Integrated Circuits

Coating Technology: Evaporation Vs Sputtering

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Junction FETs. FETs. Enhancement Not Possible. n p n p n p

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS

Gates & Boolean Algebra. Boolean Operators. Combinational Logic. Introduction

From sand to circuits How Intel makes integrated circuit chips. Sand with Intel Core 2 Duo processor.

Winbond W2E512/W27E257 EEPROM

Yrd. Doç. Dr. Aytaç Gören

Our Embedded Dream of the Invisible Future

MEMS Processes from CMP

Chapter 10 Advanced CMOS Circuits

1700V Bi-Mode Insulated Gate Transistor (BIGT) on Thin Wafer Technology

Chapter 11 PVD and Metallization

Lecture 8 MOSFET(I) MOSFET I-V CHARACTERISTICS

Integrated Circuits & Systems

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas.

Sheet Resistance = R (L/W) = R N L

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Semiconductor Memories

MOS Transistors as Switches

Application Note AN-940

OLED display. Ying Cao

COURSE: PHYSICS DEGREE: COMPUTER ENGINEERING year: 1st SEMESTER: 1st

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Lecture 5: Gate Logic Logic Optimization

Understanding the p-n Junction by Dr. Alistair Sproul Senior Lecturer in Photovoltaics The Key Centre for Photovoltaic Engineering, UNSW

III. Wet and Dry Etching

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Ultra-High Density Phase-Change Storage and Memory

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Module 7 Wet and Dry Etching. Class Notes

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

Silicon Drift Detector Product Brochure Update 2013

FLEXIBLE CIRCUITS MANUFACTURING

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Printed Circuit Board Design & Fabrication

Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

Sequential 4-bit Adder Design Report

David L. Senasack June, 2006 Dale Jackson Career Center, Lewisville Texas. The PN Junction

FEATURE ARTICLE. Figure 1: Current vs. Forward Voltage Curves for Silicon Schottky Diodes with High, Medium, Low and ZBD Barrier Heights

Department of Physics Halvlederkomponenter

CRYSTAL DEFECTS: Point defects

Lecture 30: Cleanroom design and contamination control

A Laboratory Approach to Semiconductor Process Technology

CAR IGNITION WITH IGBTS

Analog & Digital Electronics Course No: PH-218

MOS (metal-oxidesemiconductor) 李 2003/12/19

INTRODUCTION TO ION IMPLANTATION Dr. Lynn Fuller, Dr. Renan Turkman Dr Robert Pearson

A Digital Timer Implementation using 7 Segment Displays

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

What is optical lithography? The optical system Production process Future and limits of optical lithography References. Optical lithography

Chapter 2 Sources of Variation

Intel s Revolutionary 22 nm Transistor Technology

How To Implant Anneal Ion Beam

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets.

Bob York. Transistor Basics - MOSFETs

Electroplating with Photoresist Masks

3. Diodes and Diode Circuits. 3. Diodes and Diode Circuits TLT-8016 Basic Analog Circuits 2005/2006 1

Good Boards = Results

Transcription:

Introduction to CMOS VLSI Design Lecture : Introduction David Harris Harvey Mudd College Spring 24

Administrivia Name Tents Syllabus About the Instructor Office Hours & Lab Assistant Hours Labs, Problem Sets, and Project Grading Collaboration Textbook Cross-cultural Chip Design Slide 2

Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): very many Complementary Metal Oxide Semiconductor Fast, cheap, low power transistors Today: How to build your own simple CMOS chip CMOS transistors Building logic gates from transistors Transistor layout and fabrication Rest of the course: How to build a good CMOS chip Slide 3

licon Lattice Transistors are built on a silicon substrate licon is a Group IV material Forms crystal lattice with bonds to four neighbors Slide 4

Dopants licon is a semiconductor Pure silicon has no free carriers and conducts poorly Adding dopants increases the conductivity Group V: extra electron (n-type) Group III: missing electron, called hole (p-type) - + + As B - Slide 5

p-n Junctions A junction between p-type and n-type semiconductor forms a diode. Current flows only in one direction p-type n-type anode cathode Slide 6

nmos Transistor Four terminals: gate, source, drain, body Gate oxide body stack looks like a capacitor Gate and body are conductors O 2 (oxide) is a very good insulator Called metal oxide semiconductor (MOS) capacitor Source Gate Drain Even though gate is no longer made of metal Polysilicon O 2 n+ n+ p bulk Slide 7

nmos Operation Body is commonly tied to ground ( V) When the gate is at a low voltage: P-type body is at low voltage Source-body and drain-body diodes are OFF No current flows, transistor is OFF Source Gate Drain Polysilicon O 2 n+ p n+ bulk S D Slide 8

nmos Operation Cont. When the gate is at a high voltage: Positive charge on gate of MOS capacitor Negative charge attracted to body Inverts a channel under gate to n-type Now current can flow through n-type silicon from source through channel to drain, transistor is ON Source Gate Drain Polysilicon O 2 n+ p n+ bulk S D Slide 9

pmos Transistor milar, but doping and voltages reversed Body tied to high voltage (V DD ) Gate low: transistor ON Gate high: transistor OFF Bubble indicates inverted behavior Polysilicon Source Gate Drain O 2 p+ p+ n bulk Slide

Power Supply Voltage GND = V In 98 s, V DD = 5V V DD has decreased in modern processes High V DD would damage modern tiny transistors Lower V DD saves power V DD = 3.3, 2.5,.8,.5,.2,., Slide

Transistors as Switches We can view MOS transistors as electrically controlled switches Voltage at gate controls path from source to drain g = g = nmos g d d OFF d ON s s s d d d pmos g ON OFF s s s Slide 2

CMOS Inverter A Y V DD A Y A Y GND Slide 3

CMOS Inverter A Y V DD OFF A= Y= A Y ON GND Slide 4

CMOS Inverter A Y V DD ON A= Y= A Y OFF GND Slide 5

CMOS NAND Gate A B Y Y A B Slide 6

CMOS NAND Gate A B Y A= ON ON Y= OFF B= OFF Slide 7

CMOS NAND Gate A B Y A= OFF ON Y= OFF B= ON Slide 8

CMOS NAND Gate A B Y ON OFF A= ON Y= B= OFF Slide 9

CMOS NAND Gate A B Y OFF OFF A= ON Y= B= ON Slide 2

CMOS NOR Gate A B Y A B Y Slide 2

3-input NAND Gate Y pulls low if ALL inputs are Y pulls high if ANY input is Slide 22

3-input NAND Gate Y pulls low if ALL inputs are Y pulls high if ANY input is A Y B C Slide 23

CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each step, different materials are deposited or etched Easiest to understand by viewing both top and cross-section of wafer in a simplified manufacturing process Slide 24

Inverter Cross-section Typically use p-type substrate for nmos transistors Requires n-well for body of pmos transistors A GND Y V DD O 2 n+ diffusion n+ n+ p+ p+ p+ diffusion p substrate n well polysilicon metal nmos transistor pmos transistor Slide 25

Well and Substrate Taps Substrate must be tied to GND and n-well to V DD Metal to lightly-doped semiconductor forms poor connection called Shottky Diode Use heavily doped well and substrate contacts / taps A GND Y V DD p+ n+ n+ p+ p+ n+ p substrate n well substrate tap well tap Slide 26

Inverter Mask Set Transistors and wires are defined by masks Cross-section taken along dashed line A Y GND V DD substrate tap nmos transistor pmos transistor well tap Slide 27

Detailed Mask Views x masks n-well Polysilicon n+ diffusion p+ diffusion Contact Metal n well Polysilicon n+ Diffusion p+ Diffusion Contact Metal Slide 28

Fabrication Steps Start with blank wafer Build inverter from the bottom up First step will be to form the n-well Cover wafer with protective layer of O 2 (oxide) Remove layer where n-well should be built Implant or diffuse n dopants into exposed wafer Strip off O 2 p substrate Slide 29

Oxidation Grow O 2 on top of wafer 9 2 C with H 2 O or O 2 in oxidation furnace O 2 p substrate Slide 3

Photoresist Spin on photoresist Photoresist is a light-sensitive organic polymer Softens where exposed to light Photoresist O 2 p substrate Slide 3

Lithography Expose photoresist through n-well mask Strip off exposed photoresist Photoresist O 2 p substrate Slide 32

Etch Etch oxide with hydrofluoric acid (HF) Seeps through skin and eats bone; nasty stuff!!! Only attacks oxide where resist has been exposed Photoresist O 2 p substrate Slide 33

Strip Photoresist Strip off remaining photoresist Use mixture of acids called piranah etch Necessary so resist doesn t melt in next step O 2 p substrate Slide 34

n-well n-well is formed with diffusion or ion implantation Diffusion Place wafer in furnace with arsenic gas Heat until As atoms diffuse into exposed Ion Implanatation Blast wafer with beam of As ions Ions blocked by O 2, only enter exposed O 2 n well Slide 35

Strip Oxide Strip off the remaining oxide using HF Back to bare wafer with n-well Subsequent steps involve similar series of steps p substrate n well Slide 36

Polysilicon Deposit very thin layer of gate oxide < 2 Å (6-7 atomic layers) Chemical Vapor Deposition (CVD) of silicon layer Place wafer in furnace with lane gas (H 4 ) Forms many small crystals called polysilicon Heavily doped to be good conductor Polysilicon Thin gate oxide p substrate n well Slide 37

Polysilicon Patterning Use same lithography process to pattern polysilicon Polysilicon Polysilicon Thin gate oxide p substrate n well Slide 38

Self-Aligned Process Use oxide and masking to expose where n+ dopants should be diffused or implanted N-diffusion forms nmos source, drain, and n-well contact p substrate n well Slide 39

N-diffusion Pattern oxide and form n+ regions Self-aligned process where gate blocks diffusion Polysilicon is better than metal for self-aligned gates because it doesn t melt during later processing n+ Diffusion p substrate n well Slide 4

N-diffusion cont. Historically dopants were diffused Usually ion implantation today But regions are still called diffusion n+ n+ n+ p substrate n well Slide 4

N-diffusion cont. Strip off oxide to complete patterning step n+ n+ n+ p substrate n well Slide 42

P-Diffusion milar set of steps form p+ diffusion regions for pmos source and drain and substrate contact p+ Diffusion p+ n+ n+ p+ p+ n+ p substrate n well Slide 43

Contacts Now we need to wire together the devices Cover chip with thick field oxide Etch oxide where contact cuts are needed Contact p+ n+ n+ p+ p+ n+ Thick field oxide p substrate n well Slide 44

Metalization Sputter on aluminum over whole wafer Pattern to remove excess metal, leaving wires Metal p+ n+ n+ p+ p+ n+ Metal Thick field oxide p substrate n well Slide 45

Layout Chips are specified with set of masks Minimum dimensions of masks determine transistor size (and hence speed, cost, and power) Feature size f = distance between source and drain Set by minimum width of polysilicon Feature size improves 3% every 3 years or so Normalize for feature size when describing design rules Express rules in terms of λ = f/2 E.g. λ =.3 µm in.6 µm process Slide 46

mplified Design Rules Conservative rules to get you started Slide 47

Inverter Layout Transistor dimensions specified as Width / Length Minimum size is 4λ / 2λ, sometimes called unit In f =.6 µm process, this is.2 µm wide,.6 µm long Slide 48

Summary MOS Transistors are stack of gate, oxide, silicon Can be viewed as electrically controlled switches Build logic gates out of switches Draw masks to specify layout of transistors Now you know everything necessary to start designing schematics and layout for a simple chip! Slide 49