1 System-on-Chip EE141 Test Architectures Ch FPGA Testing - P. 1

Size: px
Start display at page:

Download "1 System-on-Chip EE141 Test Architectures Ch FPGA Testing - P. 1"

Transcription

1 Chapter 2 Field Programmable Gate Array Testing System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P.

2 What is this chapter about? Field Programmable Gate Arrays (FPGAs) Have become a dominant digital implementation media Reconfigurable to implement any digital logic function Focus on Testing challenges due to programmability and complexity Overview of testing approaches Test and diagnosis of various resources New frontiers in FPGA testing 2 System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 2

3 FPGA Testing Overview of FPGAs Architecture, Configuration, & Testing Problem Testing Approaches BIST of Programmable Resources Logic Resources Logic Blocks, I/O Cells, & Specialized Cores Diagnosis Routing Resources Embedded Processor Based Testing Concluding Remarks 3 System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 3

4 Field Programmable Gate Arrays Configuration Memory Programmable Logic Blocks (PLBs) Programmable Input/Output Cells Programmable Interconnect Typical Complexity = 5 million billion transistors 4 System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 4

5 Basic FPGA Operation Writing configuration memory (configuration) defines system function Input/Output Cells Logic in PLBs Connections between PLBs & I/O cells Changing configuration memory data (reconfiguration) changes system function Can change at anytime Even while system function is in operation Dynamic partial reconfiguration 5 System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 5

6 FPGA Architectures Early FPGAs NxN array of unit cells Unit cell = CLB + routing Special routing along center axes I/O cells around perimeter Next Generation FPGAs MxN array of unit cells Added small block RAMs at edges More Recent FPGAs Added larger block RAMs in array Added multipliers Added Processor Cores (PC) Latest FPGAs Added DSP cores w/multipliers I/O cells along columns for BGA System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 6 PC PC PC PC 6

7 Combinational Logic Functions Gates are combined to create complex circuits A S Z Multiplexer example If S =, Z = A If S =, Z = B Common digital circuit Heavily used in FPGAs Select input (S) controlled by configuration memory bit B Truth table S A B Z Logic symbol A B S Z 7 System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 7

8 Look-up Tables Using multiplexer example Configuration memory holds truth table Input signals connect to select inputs of multiplexers to select output value of truth table for any given input value B A S Z Multiplexer A B S Truth table S A B Z 8 System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 8 Z

9 Basic PLB Structure Look-up table (LUT) for combinational logic Store truth table in LUT (typically 3 to 6 inputs) Some LUTs can also act as RAM/shift register Flip-flops for sequential logic Programmable clock enable, set/reset Special logic Large logic functions with Shannon expansion Fast carry for adders and counters Input[:4] Control 4 LUT/ RAM clock, enable, set/reset 3 carry in Carry & Control Logic carry out Flip-flop/ Latch Output Q output System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 9 9

10 Look-up Table Based RAMs Normal LUT mode performs read operations Data In en en2 In en3 with write enable In In2 en4 Address decoder Write Address generates load signals to latches for write operations Small RAMs but can be combined for larger RAMs Write Enable System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. Address s Decoder en en5 en6 en7 In In In2 Read Address Z

11 Input/Output Cells Bi-directional buffers Programmable for input or output signals Tri-state control for bi-directional operation Flip-flops/latches for improved timing Set-up and hold times Clock-to-output delay Pull-up/down resistors Routing resources to/from internal routing resources Connections to core of array Tri-state Control Output Data Input Data Bidirectional Buffer Programmable I/O voltage & current levels System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. Pad

12 Interconnect Network Wire segments of varying length xn = N PLBs in length Typical values of N =, 2, 4, 6, 8 Long lines xh = half the array in length xl = full array in length config bit Programmable Interconnect Points (PIPs) Wire A Wire B Transmission gate connects to 2 wire segments Controlled by configuration memory bit Four basic types of PIPs 2 System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 2

13 Programmable Interconnect Points Break-point PIP Connect or isolate 2 wire segments Cross-point PIP 2 nets straight through net turns corner and/or fans out Compound cross-point PIP Collection of 6 break-point PIPs Can route 2 isolated signal nets Multiplexer PIP Directional and buffered Main routing resource in recent FPGAs Select -of-n inputs for output Decoded MUX PIP N configuration bits select from 2 N inputs Non-decoded MUX PIP configuration bit per input System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 3 3

14 Recent Architectural Trends Addition of specialized cores: Memories Single and dual-port RAMs FIFO (first-in first-out) ECC (error correcting codes) Digital signal processors (DSPs) Multipliers Accumulators Arithmetic/logic units (ALUs) Embedded processors Hard core (dedicated processors) With dedicated program/data memories Otherwise, programmable RAMs in FPGA used for program/data memories Soft core (synthesized from a HDL) = PLBs = routing resources = special cores = I/O cells System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 4 4

15 FPGA Resources Types and sizes of resources vary with FPGA family Example: LUTs vary from 3-input to 6-input 4-input LUTs are most common Typical ranges for some commercially available FPGAs Logic Routing Specialized Cores Other FPGA Resource Small FPGA Large FPGA PLBs per FPGA ,92 LUTs and flip-flops per PLB 8 Wire segments per PLB PIPs per PLB 39 3,462 Bits per memory core 28 36,864 Memory cores per FPGA DSP cores 52 Input/output cells 62,2 Configuration memory bits 42,4 79,74,832 System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 5 5

16 Configuration Interfaces Master mode (Serial or Parallel options) FPGA retrieves configuration from ROM at power-up Slave (Serial or Parallel options) FPGA configured by external source (i.e., a µp) Used for dynamic partial reconfiguration Boundary Scan Interface 4-wire IEEE standard serial interface for testing Write and read access to configuration memory Interfaces to FPGA core internal routing network Not available in all FPGAs clock PROM with Config Data data out CCLK FPGA in Master Mode Din Dout System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 6 CCLK FPGA in Slave Mode Din Dout CCLK FPGA in Slave Mode Din Dout 6

17 FPGA Configuration Memory PLB addressable Good for partial reconfiguration X-Y coordinates of PLB location to be written Z coordinate identifies which resources will be configured Frame addressable Vertical or horizontal frame Vertical frames most common Access to all PLBs in frame Only portion of logic and routing resources accessible in a given frame Many frames required to configure PLBs & routing System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 7 7

18 Configuration Techniques Full configuration & readback Simple configuration interface Automatic internal calculation of frame address Long download time for large FPGAs Partial reconfiguration & readback Only change portions of configuration memory with respect to reference design Reduces download time for reconfiguration Requires a more complicated configuration interface Command Register (CMR) Frame Length Register (FLR) Frame Address Register (FAR) Frame Data Register (FDR) System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 8 8

19 Configuration Techniques Compressed configuration Requires multiple frame write capability Write identical frames of config data to multiple frame addresses Extension of partial reconfiguration interface capabilities Frame address is much smaller than frame of configuration data Reduces download time for initial configuration depending on Regularity of system function design % utilization of array Unused portions written with default configuration data System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 9 9

20 FPGA Testing Taxonomy Test Approach Attribute Test pattern application and output response analysis Classification Internal (BIST) External System-level testing Off-line On-line System application Independent Dependent Target programmable resources Logic Routing PLBs I/O cells Cores Local Global On-line test while system is operational Off-line test while system is out-of-service Application-dependent testing tests only those FPGA resources used by intended system function Application-independent testing tests all FPGA resources 2 System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 2

21 FPGA Test Configurations More test configurations required for routing resources than for logic resources Data below from publications on actual test configuration implementations in commercial FPGAs FPGA Number of Test Configurations Vendor Series PLBs Routing Cores Reference Lattice ORCA2C 9 27 [Abramovici 2] ORCA2CA 4 4 [Stroud 22b] Atmel AT4K/AT94K [Sunwoo 25] Cypress Delta39K 2 49 [Stroud 2] Xilinx 4E/Spartan XL/XLA 2 26 [Stroud 23] Virtex/Spartan-II [Dhingra 25] Virtex-4 5? 5 [Milton 26] 2 System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 2

22 A Simple PLB Architecture Two 3-input LUTs Can implement any 4-input combinational logic function Can implement full adder flip-flop Carry in LUT C Sum in LUT S Programmable: Active levels Clock edge Set/reset 22 configuration memory bits 8 per LUT D2- D3 C7-C and S7-S 6 control bits CB5-CB 3 CB 5 Clock Enable Set/Reset Clock LUT C 8x LUT S 8x CB D2- LUT C 7 Smux C 6 C 5 C 4 C 3 C 2 C C out Cout SOmux Sout System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 22 CB CB 2 CEmux CB 3 SRmux CB FF 22 CB 4 = Configuration Memory Bit

23 Test Configurations for Simple PLB All configuration memory bits must be tested for both logic values ( and ) assuming exhaustive input patterns Output effects for each logic value must be observed Exclusive-OR (XOR) and exclusive-nor (XNOR) functions are good for testing LUTs Put opposite functions in adjacent LUTs to produce opposite logic values at inputs to subsequent logic functions Fault coverage results below are based on collapsed single stuck-at gate-level fault model (74 faults total) Configuration Bits Configuration # Configuration #2 Configuration #3 LUT C (C7 - C) XNOR () XOR () XOR () LUT S (S7 - S) XOR () XNOR () XNOR () CB - CB5 Individual FC 49/74 = 85.6% 49/74 = 85.6% 8/74 = 62.% Cumulative FC 85.6% 97.7% % System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P

24 BIST for FPGAs Basic idea: Program some logic resources to act as Goal: Test pattern generators (TPGs) Output response analyzers (ORAs) Resources under test Logic resources as blocks under test (BUTs) Routing resources as wires under test (WUTs) Minimize number of test configurations to minimize download time Download time dominates total test time 24 System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 24

25 TPG and ORA Implementations TPG implementation depends on test algorithm May be implemented in different resources (see table below) Multiple TPGs prevent faulty TPG from escaping detection Lower bound on number of PLBs per TPG, T PLB = B IN N FF B IN = number of inputs to BUT N FF = number of FFs/PLB ORAs most efficiently implemented in PLBs Number of PLBs needed for ORAs, O PLB = (N BUT B OUT ) N FF B OUT = number of outputs from BUT N BUT = number of BUTs Resource Under Test TPGs ORAs PLBs PLBs or DSP cores PLBs LUT RAMs PLBs or DSP and RAM cores PLBs I/O cells PLBs or DSP and RAM cores PLBs Cores (memories, DSPs, etc.) PLBs PLBs Interconnect PLBs PLBs System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P

26 TPG Algorithms Small logic functions (PLBs, IOBs) can be tested with pseudo-random test patterns LFSRs or counting patterns Large logic functions (RAMs, DSPs) require specialized test algorithms for high fault coverage Below are examples of typical RAM test algorithms Algorithm March Y March LR w/o BDS March LR with BDS March Test Sequence (w); (r, w,r); (r, w, r); (r) (w); (r, w); (r, w, r, r, w); (r, w); (r, w, r, r, w); (r) (w); (r, w); (r, w, r, r, w); (r, w); (r, w, r, r, w); (r, w, w, r); (r, w, r); (r) Notation: w = write (or all s), r = read (or all s) = address up, = address down, = address either way System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P

27 Output Response Analyzers Comparison-based XOR with OR feedback from flip-flop Latches mismatches observed due to faults Results retrieval ORA with shift register Requires additional logic Configuration memory readback Read contents of ORA flip-flops Good with partial configuration memory readback capabilities BUT j output BUT k output BUT j output BUT k output BUT j output BUT k output BUT j output n BUT k output n shift data shift mode Pass/ Fail System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P Pass/ Fail Pass/ Fail

28 Logic Resource BIST Architectures Basic comparison Multiple TPGs drive alternating columns (rows) of blocks under test (BUTs) BUTs in center of array observed by 2 sets of ORAs and compared with 2 other BUTs BUTs along edges of array observed by only set of ORAs Some loss of diagnostic resolution Originally used to test PLBs Later used to test specialized cores Basic Comparison =TPG =ORA =BUT System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P

29 Logic Resource BIST Architectures Circular Comparison Multiple TPGs drive alternating columns (rows) of blocks under test (BUTs) All BUTs observed by 2 sets of ORAs and compared with 2 other BUTs Good diagnostic resolution Originally used to test specialized cores Later used to test PLBs and I/O cells Circular Comparison =TPG =ORA =BUT 29 System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 29

30 Logic Resource BIST Architectures Expected Results comparison Multiple TPGs One set of TPGs drive BUTs Other set of TPGs produce expected results for comparison with outputs of BUTs BUTs observed by set of ORAs and compared with expected results from TPGs Simple diagnosis since failing ORA position indicates faulty BUT Good when expected results can be algorithmically generated easily Example: RAM test algorithms Originally used to test RAM cores expected results Expected Results test patterns =TPG =ORA =BUT System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 3 3

31 Logic Resource Diagnostic Procedure. Record ORA results; = failure indication. 2. For every set of 2 or more consecutive ORAs with s, enter s for all BUTs observed by these ORAs; the BUTs are faultfree. 3. For every adjacent and followed by an empty space, enter to indicate BUT is faulty; continue while such entries exist. 4. If an ORA indicates a failure but both BUTs monitored by the ORA are fault-free, one of the following conditions exist: A. A fault in routing resources between one of the BUTs and the ORA, B. ORA is faulty, or C. There are more than 2 consecutive BUTs with equivalent faults (for circular comparison only); reorder circular comparison and repeat test and diagnostic procedure. 5. Remaining BUTs marked as unknown may be faulty; reorder circular comparison or rotate basic comparison architecture by 9, repeat test and diagnostic procedure. System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 3 3

32 Diagnostic Procedure Examples Note that B4 and B5 have equivalent faults in Example A Circular comparison provides better diagnostic resolution Also indicates when more than 2 consecutive BUTs with equivalent faults (Example C) Example A Example B Example C BIST Architecture Basic Circular Basic Circular Basic Circular Diagnostic Step B O2 B2 O23 B3 O34 B4 O45 B5? O56 B6?? O6 32 System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 32

33 Testing Routing Resources Comparison-based BIST approach Developed for on-line FPGA BIST Testing restricted to routing resources for 2 rows or 2 columns of PLBs Small Self-Test AReas (STARs) Comparison-based ORA Later applied to off-line BIST Fill FPGA with STARs Tests run concurrently Diagnostic resolution to STAR Easier BIST development But more BIST configurations TPG WUTs ORA STAR FPGA 33 System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 33 T O T O T O T O T O

34 Testing Routing Resources Original parity-based BIST approach Parity bit routed over fault-free resources What is fault-free until you ve tested it? Modified parity-based approach N-bit up-counter with even parity, and N-bit down-counter with odd parity Gives opposite logic values for Stuck-on PIPs & bridging faults Parity used as test pattern N+ wires under test Good for small PLBs like our simple PLB example Make STARs as small as possible Better diagnostic resolution Easier BIST development WUTs TPG WUTs parity bit ORA parity-check based-ora TPG System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 34 Par O R A C + 34 C

35 Testing Routing Resources Testing typically separated by routing resources Global - interconnects non-adjacent logic resources Local - interconnects adjacent logic resources and connects logic resources to global routing Additional test configurations swap positions of TPGs and ORAs to reverse direction of signal flow to test directional, buffered routing resources Multiplexer PIPs are a good example global routing =TPG =ORA local routing PLB feed-through local routing adjacent PLBs 35 System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P. 35

36 Reducing Test Time Orient BIST architecture to configuration memory Align along rows/columns depending on FPGA structure Downloading BIST configurations Compressed configuration for initial download Partial reconfiguration for subsequent downloads Reduce number of frames written between configurations Keep routing constant between BIST configurations Optimize order of BIST configuration application Retrieving BIST results Partial configuration memory readback Eliminates ORA logic for scan chain Allows concurrent testing of more resources Minimize number of frames to be read Dynamic partial reconfiguration Read BIST results after a series of BIST configurations Slight loss in diagnostic resolution System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P

37 Embedded Processor Based BIST New area of R&D in FPGA testing Basic idea: Embedded processor core Hard or soft core Configures FPGA for BIST Via internal configuration access port (ICAP) Alternative: download initial BIST configuration Executes BIST sequence May provide TPG functionality Retrieves BIST results May perform diagnostic procedure Reconfigures FPGA for subsequent BIST configurations Soft core requires two test sessions to test area occupied by processor core during first test session Processor core, TPGs and interface to ICAP circuitry Test session # = BUT = ORA Processor core, TPGs and interface to ICAP circuitry Test session #2 System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P

38 Embedded Processor BIST Overall reduction in total test time Algorithmic reconfiguration faster than external download to 25 times faster Results below from actual implementation in commercial FPGA Can be loaded into processor program memory for on-demand BIST and diagnosis of FPGA Good for fault-tolerant applications where system function is reconfigured around diagnosed fault(s) Resource Function External Processor Speed-up PLB BIST Routing BIST Download 7.68 sec. sec 76. Execution.6 sec.85 sec.2 Total time sec.86 sec 4.4 Download 2.64 sec. sec 82.4 Execution.26 sec.343 sec.75 Total time 2.9 sec.453 sec 44.3 Total Test Time sec.639 sec 43.5 System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P

39 Concluding Remarks Growing use of FPGAs in systems and SOCs FPGA testing is necessary but difficult due to Programmability Complex programmable interconnect network Constantly growing size and changing architectures Incorporation of new and different specialized cores Test & diagnosis allows fault-tolerant applications New FPGA capabilities assist in testing solutions Dynamic partial reconfiguration and readback Configuration/reconfiguration by embedded processor cores System-on-Chip EE4 Test Architectures Ch. 2 - FPGA Testing - P

Memory Elements. Combinational logic cannot remember

Memory Elements. Combinational logic cannot remember Memory Elements Combinational logic cannot remember Output logic values are function of inputs only Feedback is needed to be able to remember a logic value Memory elements are needed in most digital logic

More information

Gates, Circuits, and Boolean Algebra

Gates, Circuits, and Boolean Algebra Gates, Circuits, and Boolean Algebra Computers and Electricity A gate is a device that performs a basic operation on electrical signals Gates are combined into circuits to perform more complicated tasks

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Chapter 4 Register Transfer and Microoperations. Section 4.1 Register Transfer Language

Chapter 4 Register Transfer and Microoperations. Section 4.1 Register Transfer Language Chapter 4 Register Transfer and Microoperations Section 4.1 Register Transfer Language Digital systems are composed of modules that are constructed from digital components, such as registers, decoders,

More information

MICROPROCESSOR. Exclusive for IACE Students www.iace.co.in iacehyd.blogspot.in Ph: 9700077455/422 Page 1

MICROPROCESSOR. Exclusive for IACE Students www.iace.co.in iacehyd.blogspot.in Ph: 9700077455/422 Page 1 MICROPROCESSOR A microprocessor incorporates the functions of a computer s central processing unit (CPU) on a single Integrated (IC), or at most a few integrated circuit. It is a multipurpose, programmable

More information

CHAPTER 3 Boolean Algebra and Digital Logic

CHAPTER 3 Boolean Algebra and Digital Logic CHAPTER 3 Boolean Algebra and Digital Logic 3.1 Introduction 121 3.2 Boolean Algebra 122 3.2.1 Boolean Expressions 123 3.2.2 Boolean Identities 124 3.2.3 Simplification of Boolean Expressions 126 3.2.4

More information

Chapter 7 Memory and Programmable Logic

Chapter 7 Memory and Programmable Logic NCNU_2013_DD_7_1 Chapter 7 Memory and Programmable Logic 71I 7.1 Introduction ti 7.2 Random Access Memory 7.3 Memory Decoding 7.5 Read Only Memory 7.6 Programmable Logic Array 77P 7.7 Programmable Array

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

The components. E3: Digital electronics. Goals:

The components. E3: Digital electronics. Goals: E3: Digital electronics Goals: Basic understanding of logic circuits. Become familiar with the most common digital components and their use. Equipment: 1 st. LED bridge 1 st. 7-segment display. 2 st. IC

More information

Memory Basics. SRAM/DRAM Basics

Memory Basics. SRAM/DRAM Basics Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8

GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8 GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8 Robert G. Brown All Rights Reserved August 25, 2000 Alta Engineering 58 Cedar Lane New Hartford, CT 06057-2905 (860) 489-8003 www.alta-engineering.com

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

MICROPROCESSOR AND MICROCOMPUTER BASICS

MICROPROCESSOR AND MICROCOMPUTER BASICS Introduction MICROPROCESSOR AND MICROCOMPUTER BASICS At present there are many types and sizes of computers available. These computers are designed and constructed based on digital and Integrated Circuit

More information

Design Verification & Testing Design for Testability and Scan

Design Verification & Testing Design for Testability and Scan Overview esign for testability (FT) makes it possible to: Assure the detection of all faults in a circuit Reduce the cost and time associated with test development Reduce the execution time of performing

More information

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit Modeling Sequential Elements with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 4-1 Sequential Circuit Outputs are functions of inputs and present states of storage elements

More information

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng Digital Logic Design Basics Combinational Circuits Sequential Circuits Pu-Jen Cheng Adapted from the slides prepared by S. Dandamudi for the book, Fundamentals of Computer Organization and Design. Introduction

More information

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1.

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. File: chap04, Chapter 04 1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. 2. True or False? A gate is a device that accepts a single input signal and produces one

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT

ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT 216 ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT *P.Nirmalkumar, **J.Raja Paul Perinbam, @S.Ravi and #B.Rajan *Research Scholar,

More information

Chapter 2 Logic Gates and Introduction to Computer Architecture

Chapter 2 Logic Gates and Introduction to Computer Architecture Chapter 2 Logic Gates and Introduction to Computer Architecture 2.1 Introduction The basic components of an Integrated Circuit (IC) is logic gates which made of transistors, in digital system there are

More information

Introduction to VLSI Testing

Introduction to VLSI Testing Introduction to VLSI Testing 李 昆 忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan, R.O.C. Introduction to VLSI Testing.1 Problems to Think A 32 bit adder A

More information

DIGITAL TECHNICS II. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute 5. LECTURE: REGISTERS AND RELATED

DIGITAL TECHNICS II. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute 5. LECTURE: REGISTERS AND RELATED DIGITAL TECHNICS II Dr. Bálint Pődör Óbuda University, Microelectronics and Technology Institute 5. LECTURE: REGISTERS AND RELATED 2nd (Spring) term 22/23 5. LECTURE: REGISTERS. Storage registers 2. Shift

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

RAM & ROM Based Digital Design. ECE 152A Winter 2012

RAM & ROM Based Digital Design. ECE 152A Winter 2012 RAM & ROM Based Digital Design ECE 152A Winter 212 Reading Assignment Brown and Vranesic 1 Digital System Design 1.1 Building Block Circuits 1.1.3 Static Random Access Memory (SRAM) 1.1.4 SRAM Blocks in

More information

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies ETEC 2301 Programmable Logic Devices Chapter 10 Counters Shawnee State University Department of Industrial and Engineering Technologies Copyright 2007 by Janna B. Gallaher Asynchronous Counter Operation

More information

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing Design Verification and Test of Digital VLSI Circuits NPTEL Video Course Module-VII Lecture-I Introduction to Digital VLSI Testing VLSI Design, Verification and Test Flow Customer's Requirements Specifications

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Non-Contact Test Access for Surface Mount Technology IEEE 1149.1-1990

Non-Contact Test Access for Surface Mount Technology IEEE 1149.1-1990 Non-Contact Test Access for Surface Mount Technology IEEE 1149.1-1990 ABSTRACT Mechanical and chemical process challenges initially limited acceptance of surface mount technology (SMT). As those challenges

More information

ASYNCHRONOUS COUNTERS

ASYNCHRONOUS COUNTERS LB no.. SYNCHONOUS COUNTES. Introduction Counters are sequential logic circuits that counts the pulses applied at their clock input. They usually have 4 bits, delivering at the outputs the corresponding

More information

Memory Systems. Static Random Access Memory (SRAM) Cell

Memory Systems. Static Random Access Memory (SRAM) Cell Memory Systems This chapter begins the discussion of memory systems from the implementation of a single bit. The architecture of memory chips is then constructed using arrays of bit implementations coupled

More information

PART B QUESTIONS AND ANSWERS UNIT I

PART B QUESTIONS AND ANSWERS UNIT I PART B QUESTIONS AND ANSWERS UNIT I 1. Explain the architecture of 8085 microprocessor? Logic pin out of 8085 microprocessor Address bus: unidirectional bus, used as high order bus Data bus: bi-directional

More information

FPGA. AT6000 FPGAs. Application Note AT6000 FPGAs. 3x3 Convolver with Run-Time Reconfigurable Vector Multiplier in Atmel AT6000 FPGAs.

FPGA. AT6000 FPGAs. Application Note AT6000 FPGAs. 3x3 Convolver with Run-Time Reconfigurable Vector Multiplier in Atmel AT6000 FPGAs. 3x3 Convolver with Run-Time Reconfigurable Vector Multiplier in Atmel AT6000 s Introduction Convolution is one of the basic and most common operations in both analog and digital domain signal processing.

More information

JTAG Applications. Product Life-Cycle Support. Software Debug. Integration & Test. Figure 1. Product Life Cycle Support

JTAG Applications. Product Life-Cycle Support. Software Debug. Integration & Test. Figure 1. Product Life Cycle Support JTAG Applications While it is obvious that JTAG based testing can be used in the production phase of a product, new developments and applications of the IEEE-1149.1 standard have enabled the use of JTAG

More information

Upon completion of unit 1.1, students will be able to

Upon completion of unit 1.1, students will be able to Upon completion of unit 1.1, students will be able to 1. Demonstrate safety of the individual, class, and overall environment of the classroom/laboratory, and understand that electricity, even at the nominal

More information

System on Chip Platform Based on OpenCores for Telecommunication Applications

System on Chip Platform Based on OpenCores for Telecommunication Applications System on Chip Platform Based on OpenCores for Telecommunication Applications N. Izeboudjen, K. Kaci, S. Titri, L. Sahli, D. Lazib, F. Louiz, M. Bengherabi, *N. Idirene Centre de Développement des Technologies

More information

Let s put together a Manual Processor

Let s put together a Manual Processor Lecture 14 Let s put together a Manual Processor Hardware Lecture 14 Slide 1 The processor Inside every computer there is at least one processor which can take an instruction, some operands and produce

More information

Flip-Flops, Registers, Counters, and a Simple Processor

Flip-Flops, Registers, Counters, and a Simple Processor June 8, 22 5:56 vra235_ch7 Sheet number Page number 349 black chapter 7 Flip-Flops, Registers, Counters, and a Simple Processor 7. Ng f3, h7 h6 349 June 8, 22 5:56 vra235_ch7 Sheet number 2 Page number

More information

Design of a High Speed Communications Link Using Field Programmable Gate Arrays

Design of a High Speed Communications Link Using Field Programmable Gate Arrays Customer-Authored Application Note AC103 Design of a High Speed Communications Link Using Field Programmable Gate Arrays Amy Lovelace, Technical Staff Engineer Alcatel Network Systems Introduction A communication

More information

Programming Logic controllers

Programming Logic controllers Programming Logic controllers Programmable Logic Controller (PLC) is a microprocessor based system that uses programmable memory to store instructions and implement functions such as logic, sequencing,

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

Layout of Multiple Cells

Layout of Multiple Cells Layout of Multiple Cells Beyond the primitive tier primitives add instances of primitives add additional transistors if necessary add substrate/well contacts (plugs) add additional polygons where needed

More information

Memory unit. 2 k words. n bits per word

Memory unit. 2 k words. n bits per word 9- k address lines Read n data input lines Memory unit 2 k words n bits per word n data output lines 24 Pearson Education, Inc M Morris Mano & Charles R Kime 9-2 Memory address Binary Decimal Memory contents

More information

REC FPGA Seminar IAP 1998. Seminar Format

REC FPGA Seminar IAP 1998. Seminar Format REC FPGA Seminar IAP 1998 Session 1: Architecture, Economics, and Applications of the FPGA Robotics and Electronics Cooperative FPGA Seminar IAP 1998 1 Seminar Format Four 45 minute open sessions two on

More information

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview Technical Note TN-29-06: NAND Flash Controller on Spartan-3 Overview Micron NAND Flash Controller via Xilinx Spartan -3 FPGA Overview As mobile product capabilities continue to expand, so does the demand

More information

Chapter 2 Basic Structure of Computers. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 2 Basic Structure of Computers. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 2 Basic Structure of Computers Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Functional Units Basic Operational Concepts Bus Structures Software

More information

7 Series FPGA Overview

7 Series FPGA Overview 7 Series FPGA Overview 7 Series FPGA Families Maximum Capability Lowest Power and Cost Industry s Best Price/Performance Industry s Highest System Performance Logic Cells Block RAM DSP Slices Peak DSP

More information

Online Clock Routing in Xilinx FPGAs for High-Performance and Reliability

Online Clock Routing in Xilinx FPGAs for High-Performance and Reliability Online Clock Routing in Xilinx FPGAs for High-Performance and Reliability Xabier Iturbe, Khaled Benkrid, Raul Torrego, Ali Ebrahim and Tughrul Arslan System Level Integration Group, The University of Edinburgh,

More information

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems Harris Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH

More information

Read this before starting!

Read this before starting! Points missed: Student's Name: Total score: /100 points East Tennessee State University Department of Computer and Information Sciences CSCI 4717 Computer Architecture TEST 2 for Fall Semester, 2006 Section

More information

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

1 Gbit, 2 Gbit, 4 Gbit, 3 V SLC NAND Flash For Embedded

1 Gbit, 2 Gbit, 4 Gbit, 3 V SLC NAND Flash For Embedded 1 Gbit, 2 Gbit, 4 Gbit, 3 V SLC NAND Flash For Embedded Distinctive Characteristics Density 1 Gbit / 2 Gbit / 4 Gbit Architecture Input / Output Bus Width: 8-bits / 16-bits Page Size: x8 = 2112 (2048 +

More information

Chapter 7. Registers & Register Transfers. J.J. Shann. J. J. Shann

Chapter 7. Registers & Register Transfers. J.J. Shann. J. J. Shann Chapter 7 Registers & Register Transfers J. J. Shann J.J. Shann Chapter Overview 7- Registers and Load Enable 7-2 Register Transfers 7-3 Register Transfer Operations 7-4 A Note for VHDL and Verilog Users

More information

CHAPTER 11: Flip Flops

CHAPTER 11: Flip Flops CHAPTER 11: Flip Flops In this chapter, you will be building the part of the circuit that controls the command sequencing. The required circuit must operate the counter and the memory chip. When the teach

More information

İSTANBUL AYDIN UNIVERSITY

İSTANBUL AYDIN UNIVERSITY İSTANBUL AYDIN UNIVERSITY FACULTY OF ENGİNEERİNG SOFTWARE ENGINEERING THE PROJECT OF THE INSTRUCTION SET COMPUTER ORGANIZATION GÖZDE ARAS B1205.090015 Instructor: Prof. Dr. HASAN HÜSEYİN BALIK DECEMBER

More information

Computer Architecture

Computer Architecture Computer Architecture Slide Sets WS 2013/2014 Prof. Dr. Uwe Brinkschulte M.Sc. Benjamin Betting Part 11 Memory Management Computer Architecture Part 11 page 1 of 44 Prof. Dr. Uwe Brinkschulte, M.Sc. Benjamin

More information

Delay Characterization in FPGA-based Reconfigurable Systems

Delay Characterization in FPGA-based Reconfigurable Systems Institute of Computer Architecture and Computer Engineering University of Stuttgart Pfaffenwaldring 47 D 70569 Stuttgart Master s Thesis Nr. 3505 Delay Characterization in FPGA-based Reconfigurable Systems

More information

Computer Architecture

Computer Architecture Computer Architecture Random Access Memory Technologies 2015. április 2. Budapest Gábor Horváth associate professor BUTE Dept. Of Networked Systems and Services ghorvath@hit.bme.hu 2 Storing data Possible

More information

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: "Embedded Systems - ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: Embedded Systems - , Raj Kamal, Publs.: McGraw-Hill Education Lesson 7: SYSTEM-ON ON-CHIP (SoC( SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY 1 VLSI chip Integration of high-level components Possess gate-level sophistication in circuits above that of the counter,

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source)

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source) FPGA IMPLEMENTATION OF 4D-PARITY BASED DATA CODING TECHNIQUE Vijay Tawar 1, Rajani Gupta 2 1 Student, KNPCST, Hoshangabad Road, Misrod, Bhopal, Pin no.462047 2 Head of Department (EC), KNPCST, Hoshangabad

More information

SPI Flash Programming and Hardware Interfacing Using ispvm System

SPI Flash Programming and Hardware Interfacing Using ispvm System March 2005 Introduction Technical Note TN1081 SRAM-based FPGA devices are volatile and require reconfiguration after power cycles. This requires external configuration data to be held in a non-volatile

More information

CHAPTER 7: The CPU and Memory

CHAPTER 7: The CPU and Memory CHAPTER 7: The CPU and Memory The Architecture of Computer Hardware, Systems Software & Networking: An Information Technology Approach 4th Edition, Irv Englander John Wiley and Sons 2010 PowerPoint slides

More information

TERMINAL Debug Console Instrument

TERMINAL Debug Console Instrument Summary This document describes how to place and use the TERMINAL virtual instrument in an FPGA design. Core Reference CR0180 (v2.0) March 06, 2008 The TERMINAL device is a debug console instrument for

More information

COMPUTER HARDWARE. Input- Output and Communication Memory Systems

COMPUTER HARDWARE. Input- Output and Communication Memory Systems COMPUTER HARDWARE Input- Output and Communication Memory Systems Computer I/O I/O devices commonly found in Computer systems Keyboards Displays Printers Magnetic Drives Compact disk read only memory (CD-ROM)

More information

Optimising the resource utilisation in high-speed network intrusion detection systems.

Optimising the resource utilisation in high-speed network intrusion detection systems. Optimising the resource utilisation in high-speed network intrusion detection systems. Gerald Tripp www.kent.ac.uk Network intrusion detection Network intrusion detection systems are provided to detect

More information

Spacecraft Computer Systems. Colonel John E. Keesee

Spacecraft Computer Systems. Colonel John E. Keesee Spacecraft Computer Systems Colonel John E. Keesee Overview Spacecraft data processing requires microcomputers and interfaces that are functionally similar to desktop systems However, space systems require:

More information

Computer organization

Computer organization Computer organization Computer design an application of digital logic design procedures Computer = processing unit + memory system Processing unit = control + datapath Control = finite state machine inputs

More information

DS1621 Digital Thermometer and Thermostat

DS1621 Digital Thermometer and Thermostat Digital Thermometer and Thermostat www.dalsemi.com FEATURES Temperature measurements require no external components Measures temperatures from 55 C to +125 C in 0.5 C increments. Fahrenheit equivalent

More information

Documentation. M-Bus 130-mbx

Documentation. M-Bus 130-mbx Documentation M-Bus 130-mbx Introduction The mx M-Bus module is part of the mx Smart Slot communications family. With the integrated SmartSlot technology, mx systems ag offers automatic consumer data read-out

More information

Counters. Present State Next State A B A B 0 0 0 1 0 1 1 0 1 0 1 1 1 1 0 0

Counters. Present State Next State A B A B 0 0 0 1 0 1 1 0 1 0 1 1 1 1 0 0 ounter ounters ounters are a specific type of sequential circuit. Like registers, the state, or the flip-flop values themselves, serves as the output. The output value increases by one on each clock cycle.

More information

OpenSPARC T1 Processor

OpenSPARC T1 Processor OpenSPARC T1 Processor The OpenSPARC T1 processor is the first chip multiprocessor that fully implements the Sun Throughput Computing Initiative. Each of the eight SPARC processor cores has full hardware

More information

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes of stuck-at

More information

BINARY CODED DECIMAL: B.C.D.

BINARY CODED DECIMAL: B.C.D. BINARY CODED DECIMAL: B.C.D. ANOTHER METHOD TO REPRESENT DECIMAL NUMBERS USEFUL BECAUSE MANY DIGITAL DEVICES PROCESS + DISPLAY NUMBERS IN TENS IN BCD EACH NUMBER IS DEFINED BY A BINARY CODE OF 4 BITS.

More information

Memory Testing. Memory testing.1

Memory Testing. Memory testing.1 Memory Testing Introduction Memory Architecture & Fault Models Test Algorithms DC / AC / Dynamic Tests Built-in Self Testing Schemes Built-in Self Repair Schemes Memory testing.1 Memory Market Share in

More information

Lecture 7: Clocking of VLSI Systems

Lecture 7: Clocking of VLSI Systems Lecture 7: Clocking of VLSI Systems MAH, AEN EE271 Lecture 7 1 Overview Reading Wolf 5.3 Two-Phase Clocking (good description) W&E 5.5.1, 5.5.2, 5.5.3, 5.5.4, 5.5.9, 5.5.10 - Clocking Note: The analysis

More information

Chapter 9 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 9 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 9 Semiconductor Memories Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 2 Outline Introduction

More information

1. Memory technology & Hierarchy

1. Memory technology & Hierarchy 1. Memory technology & Hierarchy RAM types Advances in Computer Architecture Andy D. Pimentel Memory wall Memory wall = divergence between CPU and RAM speed We can increase bandwidth by introducing concurrency

More information

CS101 Lecture 26: Low Level Programming. John Magee 30 July 2013 Some material copyright Jones and Bartlett. Overview/Questions

CS101 Lecture 26: Low Level Programming. John Magee 30 July 2013 Some material copyright Jones and Bartlett. Overview/Questions CS101 Lecture 26: Low Level Programming John Magee 30 July 2013 Some material copyright Jones and Bartlett 1 Overview/Questions What did we do last time? How can we control the computer s circuits? How

More information

Reconfigurable Computing. Reconfigurable Architectures. Chapter 3.2

Reconfigurable Computing. Reconfigurable Architectures. Chapter 3.2 Reconfigurable Architectures Chapter 3.2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design Coarse-Grained Reconfigurable Devices Recall: 1. Brief Historically development (Estrin Fix-Plus

More information

8 by 8 dot matrix LED displays with Cascadable Serial driver B32CDM8 B48CDM8 B64CDM8 General Description

8 by 8 dot matrix LED displays with Cascadable Serial driver B32CDM8 B48CDM8 B64CDM8 General Description 8 by 8 dot matrix LED displays with Cascadable Serial driver B32CDM8 B48CDM8 B64CDM8 General Description The B32CDM8, B48CDM8 and the B64CDM8 are 8 by 8 (row by column) dot matrix LED displays combined

More information

LLRF. Digital RF Stabilization System

LLRF. Digital RF Stabilization System LLRF Digital RF Stabilization System Many instruments. Many people. Working together. Stability means knowing your machine has innovative solutions. For users, stability means a machine achieving its full

More information

Microcontroller Based Low Cost Portable PC Mouse and Keyboard Tester

Microcontroller Based Low Cost Portable PC Mouse and Keyboard Tester Leonardo Journal of Sciences ISSN 1583-0233 Issue 20, January-June 2012 p. 31-36 Microcontroller Based Low Cost Portable PC Mouse and Keyboard Tester Ganesh Sunil NHIVEKAR *, and Ravidra Ramchandra MUDHOLKAR

More information

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule All Programmable Logic Hans-Joachim Gelke Institute of Embedded Systems Institute of Embedded Systems 31 Assistants 10 Professors 7 Technical Employees 2 Secretaries www.ines.zhaw.ch Research: Education:

More information

150127-Microprocessor & Assembly Language

150127-Microprocessor & Assembly Language Chapter 3 Z80 Microprocessor Architecture The Z 80 is one of the most talented 8 bit microprocessors, and many microprocessor-based systems are designed around the Z80. The Z80 microprocessor needs an

More information

We r e going to play Final (exam) Jeopardy! "Answers:" "Questions:" - 1 -

We r e going to play Final (exam) Jeopardy! Answers: Questions: - 1 - . (0 pts) We re going to play Final (exam) Jeopardy! Associate the following answers with the appropriate question. (You are given the "answers": Pick the "question" that goes best with each "answer".)

More information

Chapter 4 T1 Interface Card

Chapter 4 T1 Interface Card Chapter 4 T1 Interface Card GENERAL This chapter describes DTE interface options that may be required if application requirements change. It also describes software configuration for the T1 interface card.

More information

To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC.

To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC. 8.1 Objectives To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC. 8.2 Introduction Circuits for counting events are frequently used in computers and other digital

More information

LatticeECP2/M S-Series Configuration Encryption Usage Guide

LatticeECP2/M S-Series Configuration Encryption Usage Guide Configuration Encryption Usage Guide June 2013 Introduction Technical Note TN1109 All Lattice FPGAs provide configuration data read security, meaning that a fuse can be set so that when the device is read

More information

Switch Fabric Implementation Using Shared Memory

Switch Fabric Implementation Using Shared Memory Order this document by /D Switch Fabric Implementation Using Shared Memory Prepared by: Lakshmi Mandyam and B. Kinney INTRODUCTION Whether it be for the World Wide Web or for an intra office network, today

More information

Manchester Encoder-Decoder for Xilinx CPLDs

Manchester Encoder-Decoder for Xilinx CPLDs Application Note: CoolRunner CPLDs R XAPP339 (v.3) October, 22 Manchester Encoder-Decoder for Xilinx CPLDs Summary This application note provides a functional description of VHDL and Verilog source code

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

AUTOMATIC NIGHT LAMP WITH MORNING ALARM USING MICROPROCESSOR

AUTOMATIC NIGHT LAMP WITH MORNING ALARM USING MICROPROCESSOR AUTOMATIC NIGHT LAMP WITH MORNING ALARM USING MICROPROCESSOR INTRODUCTION This Project "Automatic Night Lamp with Morning Alarm" was developed using Microprocessor. It is the Heart of the system. The sensors

More information

Sequential Circuit Design

Sequential Circuit Design Sequential Circuit Design Lan-Da Van ( 倫 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2009 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines

More information

Table 1: Address Table

Table 1: Address Table DDR SDRAM DIMM D32PB12C 512MB D32PB1GJ 1GB For the latest data sheet, please visit the Super Talent Electronics web site: www.supertalentmemory.com Features 184-pin, dual in-line memory module (DIMM) Fast

More information

LMS is a simple but powerful algorithm and can be implemented to take advantage of the Lattice FPGA architecture.

LMS is a simple but powerful algorithm and can be implemented to take advantage of the Lattice FPGA architecture. February 2012 Introduction Reference Design RD1031 Adaptive algorithms have become a mainstay in DSP. They are used in wide ranging applications including wireless channel estimation, radar guidance systems,

More information

Computer Performance. Topic 3. Contents. Prerequisite knowledge Before studying this topic you should be able to:

Computer Performance. Topic 3. Contents. Prerequisite knowledge Before studying this topic you should be able to: 55 Topic 3 Computer Performance Contents 3.1 Introduction...................................... 56 3.2 Measuring performance............................... 56 3.2.1 Clock Speed.................................

More information

Design and Verification of Nine port Network Router

Design and Verification of Nine port Network Router Design and Verification of Nine port Network Router G. Sri Lakshmi 1, A Ganga Mani 2 1 Assistant Professor, Department of Electronics and Communication Engineering, Pragathi Engineering College, Andhra

More information

Sistemas Digitais I LESI - 2º ano

Sistemas Digitais I LESI - 2º ano Sistemas Digitais I LESI - 2º ano Lesson 6 - Combinational Design Practices Prof. João Miguel Fernandes (miguel@di.uminho.pt) Dept. Informática UNIVERSIDADE DO MINHO ESCOLA DE ENGENHARIA - PLDs (1) - The

More information

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition A Tutorial Approach James O. Hamblen Georgia Institute of Technology Michael D. Furman Georgia Institute of Technology KLUWER ACADEMIC PUBLISHERS Boston

More information