The Interconnect Challenge

Size: px
Start display at page:

Download "The Interconnect Challenge"

Transcription

1 The Interconnect Challenge Bob Havemann Novellus Systems, Inc. 16th Biennial University Government Industry Microelectronics Symposium June 26, 2006

2 Outline Interconnect Scaling Fabrication options Benefits of Cu/Low k Engineering C Reducing k effective Optimizing mechanical properties Engineering R Thinner barriers Optimizing Cu resistivity Summary P. 2

3 Interconnect Fabrication Options Positive Pattern Negative Pattern Metal Etch Dielectric Etch Dielectric Deposition Metal Deposition Metal Dielectric Planarization by CMP Metal CMP Dielectric Deposition Dielectric Photoresist Etch Stop (Dielectric) Subtractive Etch (Al) Damascene (W, Cu) Logic devices have fully transitioned from Al to Cu interconnect; transition from Al to Cu for Memory devices is in progress P. 3

4 Microprocessor Clock Frequency vs. Scaling Influence of Interconnect System on Performance Reference: P. Fisher & R. Nesbitt Clock Freq. (MHz) Cu-Low k Al-Low k Al-SiO 2 Cu-SiO Technology Min. Feature (nm) Logic Depth = 12 Gates P. 4

5 Interconnect Hierarchy 90 nm DSP Example Courtesy ot Texas Instruments Global/Semi-Global Interconnect Intermediate Interconnect Local Interconnect P. 5

6 A Typical Chip Scaling Scenario Global Wires Do Not Scale In Length A Chip A More Advanced Chip Macro Circuit Scaled Macro Circuit Local wire in a macro Global wire between macros P. 6

7 Interconnect Delay vs. Technology Node For ITRS Design Rules/Material Parameters 100 Reference: R. Ho & M. Horowitz Gate Delay (Fan out 4) Local (Scaled) Global wire signal delay increases with scaling Relative Delay 10 Global with Repeaters (Scaled Die Edge) Global w/o Repeaters (Scaled Die Edge) Repeater insertion reduces global delay 1 Local wire signal delay improves with scaling Process Technology Node (nm) P. 7

8 Benefits of Cu and Low k Interconnects Decreased RC Delay RC ~ ε o ερl 2 (h -2 + w -2 ) Crosstalk ~ C L-L / (C L-L + C L-G ) C L-L Lower Power Consumption P ~ CV 2 f C L-G Reduced Crosstalk Noise N ~ C L-L /C Total Line-to-line Capacitance = C L-L Line-to-ground Capacitance = C L-G P. 8

9 Cu Interconnect Evolution vs. Technology Node rf HCM PVD Barrier HCM PVD Seed rf HCM PVD Barrier HCM PVD Seed rf HCM PVD Barrier rf HCM PVD Seed iald Barrier iald Seed Cu Cu Cu Cu FSG (k~3.6) Low k (k 3) Porous Low k (k~2.5) Porous Low k (k<2.5) SiN SiC Low k Cap/Etch SiC Stop Cu Passivation Cap/Etch Stop Refractory Cap 130nm 90/65nm 45nm 32nm Engineering RC requires new materials and processes P. 9

10 New materials have both benefits & concerns Performance RC delay Crosstalk (~C ll /C T ) Power dissipation (~CV 2 f) Reliability Time Dependent Dielectric Breakdown (TDDB) Bias Thermal Stress (BTS) Via Stress Migration (VSM) Electromigration (EM) Packaging Mechanical Integrity Heat Dissipation P. 10

11 Engineering C Goal is reduction in effective dielectric constant (k) by minimizing: k of bulk dielectric material k of dielectric barrier Damage to low k during processing Moisture absorption in low k material Requires hermetic barrier Must also optimize mechanical properties Hardness, modulus, stress, cohesive strength, cracking limit Adhesion Pore size and connectivity P. 11

12 k Effective for Dense and Porous Low k Dielectrics Barrier Node k Th Cap Node k Th Dense Low k Dense Dielectric Dielectric Barrier Cu Dense Dielectric Porous Low k Porous Dielectric Dielectric Barrier Cap Node (nm): k = k = NA k = k = k = liner Cu Intermediate Etch Stop Layer Porous Dielectric Dielectric liner (k=4, t=5nm) ESL Node k Th Must simplify porous low k integration to realize benefits P. 12

13 BEOL Integration Challenges Low k Dielectrics Low k dielectrics required for capacitance scaling, but: Weaker electrical and mechanical properties are a concern UV Thermal Processing (UVTP) improves film modulus High porosity of Ultra Low k (ULK) films presents integration issues Reduced pore interconnectivity enables standard process for lower cost 1.4 Epoxy 1.2 h 1.0 iald TaN ULK k vs. Hardness for Porous ULK Ta EDS Line Scan UV -Cure Closed Pore Behavior No Penetration of iald barrier Thermal Cure k (1/V)*(dV/dD) Fractional Volume Distribution at 5.1 kev (370 nm) 15% Lower RC K=2.85 dense film K=3.0 dense film HMS (k=3) K=2.7 dense film ULK (k=2.5) K=2.5 porogen based film Spherical Pore Diameter (nm) Integration of ultra low k dielectrics demonstrated at k = 2.5 P. 13

14 Making a Reliable SiC Diffusion Barrier Resistance to moisture and oxygen H 2 O absorption in damaged CDO O 2 /H 2 O reaction forms Cu x O Interfacial O (atoms/cm 2 ) 1E+16 1E+15 1E+14 Dielectric Constant SiC Cap on damaged Coral Permeable SiC Hermetic SiC Time (hours) % Adhesion Failures (< 5 J/m 2 ) 1E No Cu x O Reduction No Cu x O Reduction Permeable SiC Permeable SiC Hermetic SiC Hermetic SiC Hermeticity of the dielectric barrier is key to Cu/low k reliability P. 14

15 Engineering R Goal is to lower interconnect resistance by: Alleviating contact R increase through material changes Replace high resistivity Ti/TiN with WN Reducing barrier thickness to maximize Cu volume in trench While maintaining reliability Reducing via resistance by optimizing: Etch and post-etch clean Pre-sputter clean Barrier deposition Must also optimize resistivity for smaller feature sizes Optimization of Cu plating chemistry and anneal P. 15

16 Impact of Scaling on Contact Resistance Contact resistance increasing with scaling: Control of resistance with scaling DirectFill TM WN/Low ρ W Current carrying part of Contact 2600 CVD W Fill W Nucleation TiN/Ti Resistance of 2 Plugs ( Ω ) NMOS Resistance (ITRS : 4xW min ) Direct Fill Ti/TiN/W Technology Node (nm) Elimination of Ti/TiN liner improves R in scaled contacts P. 16

17 Scaling Cu Barrier/Seed Lower line resistance achieved by optimizing Cu volume: Requires thinner barrier while maintaining barrier integrity Effective Resistivity (µ Ω.cm) ITRS Specification 45 nm 25nm PVD (Conventional PVD) 10nm PVD (RF HCM PVD) 65 nm 90 nm 60nm Trench RF HCM TaN Line Width (nm) iald TaN Thinner barrier alleviates line resistance increase with scaling, but process optimization required to maintain interconnect reliability P. 17

18 RF HCM Barrier Step Coverage M = Ta M + M + M Ar + M + M + M + Ar + 1. Barrier Deposition (Ta/TaN) 2. RF Biased Ar + Etch / Ta Deposition As deposited After resputtering P. 18

19 Cu Fill of Scaled Interconnects Challenges for Cu fill of smaller feature size: Smaller features require faster bottom-up fill without overplating Must compensate for nonuniform current distribution from thin Cu seed Cu Seed Protrusion: Need Fast Bottom-up Fill to Prevent Voids FILL TIME Time to fill Thin Cu Seed: Optimize Entry Conditions GENERATION Thin barrier/seed with optimized plating process 80 nm filled trench Overplating New Chemistry Planar Fill New plating chemistry & tool enhancements enable Cu fill extendibility P. 19

20 Optmization of Cu Resistivity ρ (µohm-cm) Cu resistivity increases with smaller feature size: Electron scattering from grain boundaries and sidewalls Optimized chemistry/anneal gives large grain size & lower resistivity Copper Anneal/Plating Chemistry Optimized for Large Grain Size INTEL IITC 2004 Surface scattering only Steinhogl MRS 2002 IMEC AMC 2003 NVLS IITC 2005 Cu Grains Line Width (nm) Lower effective Cu resistivity with optimized plating chemistry & anneal P. 20

21 Summary Interconnect performance needs have led to the introduction of Cu and low k dielectrics Cu interconnects mainstream for Logic devices Transition to Cu interconnects underway for Memory devices The successful integration of Cu/low k has required the resolution of numerous technical challenges Cu/low k (k= ) in production at 90nm/65nm technology nodes Cu/low k (k=2.5) in development for 45nm production in 2008 Even greater challenges lie ahead Lower k dielectrics (k < 2.5), ultra thin (< 3nm) metal barriers, fill of sub-50nm features, scaling effects, etc. Collaboration between industry, universities and government is key to fostering innovative solutions and fueling the IC scaling engine P. 21

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Chapter 11 PVD and Metallization

Chapter 11 PVD and Metallization Chapter 11 PVD and Metallization 2006/5/23 1 Metallization Processes that deposit metal thin film on wafer surface. 2006/5/23 2 1 Metallization Definition Applications PVD vs. CVD Methods Vacuum Metals

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

Our Embedded Dream of the Invisible Future

Our Embedded Dream of the Invisible Future Our Embedded Dream of the Invisible Future Since the invention of semiconductor chips, the evolution of mankind s culture, society and lifestyle has accelerated at a pace never before experienced. Information

More information

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2 Solid State Phenomena Vol. 134 (2008) pp 359-362 Online available since 2007/Nov/20 at www.scientific.net (2008) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.134.359 Metal Hard

More information

Digital Integrated Circuit (IC) Layout and Design

Digital Integrated Circuit (IC) Layout and Design Digital Integrated Circuit (IC) Layout and Design! EE 134 Winter 05 " Lecture Tu & Thurs. 9:40 11am ENGR2 142 " 2 Lab sections M 2:10pm 5pm ENGR2 128 F 11:10am 2pm ENGR2 128 " NO LAB THIS WEEK " FIRST

More information

FLEXIBLE CIRCUITS MANUFACTURING

FLEXIBLE CIRCUITS MANUFACTURING IPC-DVD-37 FLEXIBLE CIRCUITS MANUFACTURING Below is a copy of the narration for DVD-37. The contents of this script were developed by a review group of industry experts and were based on the best available

More information

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M Miniaturizing Flexible Circuits for use in Medical Electronics Nate Kreutter 3M Drivers for Medical Miniaturization Market Drivers for Increased use of Medical Electronics Aging Population Early Detection

More information

Bending, Forming and Flexing Printed Circuits

Bending, Forming and Flexing Printed Circuits Bending, Forming and Flexing Printed Circuits John Coonrod Rogers Corporation Introduction: In the printed circuit board industry there are generally two main types of circuit boards; there are rigid printed

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

POWER FORUM, BOLOGNA 20-09-2012

POWER FORUM, BOLOGNA 20-09-2012 POWER FORUM, BOLOGNA 20-09-2012 Convertitori DC/DC ad alta densità di potenza e bassa impedenza termica. Massimo GAVIOLI. Senior Field Application Engineer. Intersil SIMPLY SMARTER Challenges when Designing

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

Class 18: Memories-DRAMs

Class 18: Memories-DRAMs Topics: 1. Introduction 2. Advantages and Disadvantages of DRAMs 3. Evolution of DRAMs 4. Evolution of DRAMs 5. Basics of DRAMs 6. Basics of DRAMs 7. Write Operation 8. SA-Normal Operation 9. SA-Read Operation

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Ultra-high Barrier Plastic. MSE5420 Flexible Electronics Martin Yan, GE Global Research

Ultra-high Barrier Plastic. MSE5420 Flexible Electronics Martin Yan, GE Global Research Ultra-high Barrier Plastic MSE5420 Flexible Electronics Martin Yan, GE Global Research Outline Introduction to plastic substrate and need for barrier Barrier technologies WVTR measurement technologies

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

COPPER FLEX PRODUCTS

COPPER FLEX PRODUCTS COPPER FLEX PRODUCTS WHY FLEX? Molex ible Printed Circuit Technology is the answer to your most challenging interconnect applications. We are your total solution for ible Printed Circuitry because we design

More information

Good Boards = Results

Good Boards = Results Section 2: Printed Circuit Board Fabrication & Solderability Good Boards = Results Board fabrication is one aspect of the electronics production industry that SMT assembly engineers often know little about.

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE Mohammad S. Sharawi Electrical Engineering Department, King Fahd University of Petroleum and Minerals Dhahran, 31261 Saudi Arabia Keywords: Printed Circuit

More information

Formation of solids from solutions and melts

Formation of solids from solutions and melts Formation of solids from solutions and melts Solids from a liquid phase. 1. The liquid has the same composition as the solid. Formed from the melt without any chemical transformation. Crystallization and

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

Package Trends for Mobile Device

Package Trends for Mobile Device Package Trends for Mobile Device On-package EMI Shield At CTEA Symposium Feb-10, 2015 Tatsuya Kawamura Marketing, Director TEL NEXX, Inc. Love Thinner Mobile? http://www.apple.com/ iphone is registered

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Tantalum in Power Supply Applications

Tantalum in Power Supply Applications Tantalum in Power Supply Applications 1998 PCIM by John Prymak Applications Manager P.O. Box 5928 Greenville, SC 29606 Phone (864) 963-6300 Fax (864) 963-66521 www.kemet.com F2115 12/04 Abstract Tantalum

More information

Polymer Termination. Mechanical Cracking 2. The reason for polymer termination. What is Polymer Termination? 3

Polymer Termination. Mechanical Cracking 2. The reason for polymer termination. What is Polymer Termination? 3 Polymer Termination An alternative termination material specifically designed to absorb greater levels of mechanical stress thereby reducing capacitor failures associated with mechanical cracking Mechanical

More information

A SURVEY AND TUTORIAL OF DIELECTRIC MATERIALS USED IN THE MANUFACTURE OF PRINTED CIRCUIT BOARDS.

A SURVEY AND TUTORIAL OF DIELECTRIC MATERIALS USED IN THE MANUFACTURE OF PRINTED CIRCUIT BOARDS. A SURVEY AND TUTORIAL OF DIELECTRIC MATERIALS USED IN THE MANUFACTURE OF PRINTED CIRCUIT BOARDS. By Lee W. Ritchey, Speeding Edge, for publication in November 1999 issue of Circuitree magazine. Copyright

More information

Chapter 10 CVD and Dielectric Thin Film

Chapter 10 CVD and Dielectric Thin Film Chapter 10 CVD and Dielectric Thin Film 2006/5/23 1 Objectives Identify at least four CVD applications Describe CVD process sequence List the two deposition regimes and describe their relation to temperature

More information

Application Note: PCB Design By: Wei-Lung Ho

Application Note: PCB Design By: Wei-Lung Ho Application Note: PCB Design By: Wei-Lung Ho Introduction: A printed circuit board (PCB) electrically connects circuit components by routing conductive traces to conductive pads designed for specific components

More information

Excerpt Direct Bonded Copper

Excerpt Direct Bonded Copper xcerpt irect Bonded Copper Presented by ouglas C. Hopkins, Ph.. 312 Bonner Hall University at Buffalo Buffalo, Y 14620-1900 607-729-9949, fax: 607-729-7129 Authors thank Curamik lectronics A member of

More information

Flex Circuit Design and Manufacture.

Flex Circuit Design and Manufacture. Flex Circuit Design and Manufacture. Hawarden Industrial Park, Manor Lane, Deeside, Flintshire, CH5 3QZ Tel 01244 520510 Fax 01244 520721 Sales@merlincircuit.co.uk www.merlincircuit.co.uk Flex Circuit

More information

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer & Interconnect Technologies Outline Low cost RFID Tags & Labels Standard applications and

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Using Flex in High-Speed Applications

Using Flex in High-Speed Applications feature Figure 1: An automotive flex circuit designed to fit into a tight form factor. Using Flex in High-Speed Applications by Glenn Oliver DuPont Electronics and Communications Copper clad circuits in

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Keeping Current to Stay Competitive in Flex PCB Laser Processing

Keeping Current to Stay Competitive in Flex PCB Laser Processing White Paper Keeping Current to Stay Competitive in Flex PCB Laser Processing Market Drivers, Trends and Methodologies ESI by Patrick Riechel, PCB Product Manager The push for smaller, cheaper and more

More information

Embedded Integrated Inductors With A Single Layer Magnetic Core: A Realistic Option

Embedded Integrated Inductors With A Single Layer Magnetic Core: A Realistic Option Embedded Integrated Inductors With A Single Layer Magnetic Core: A Realistic Option - Bridging the gap between discrete inductors and planar spiral inductors - Dok Won Lee, LiangLiang Li, and Shan X. Wang

More information

DRIVING COST OUT OF YOUR DESIGNS THROUGH YOUR PCB FABRICATOR S EYES!

DRIVING COST OUT OF YOUR DESIGNS THROUGH YOUR PCB FABRICATOR S EYES! 4/3/2013 S THROUGH YOUR PCB FABRICATOR S EYES! Brett McCoy Eagle Electronics Schaumburg IL. New England Design and Manufacturing Tech Conference Brett McCoy: Vice President / Director of Sales Circuit

More information

TAIYO PSR-4000 AUS703

TAIYO PSR-4000 AUS703 TAIYO PSR-4000 AUS703 LIQUID PHOTOIMAGEABLE SOLDER MASK Designed for Flip Chip Packaging Applications Halogen-Free (300ppm) Excellent Thermal and Crack Resistance Low Water Absorption RoHS Compliant Excellent

More information

Flip Chip Package Qualification of RF-IC Packages

Flip Chip Package Qualification of RF-IC Packages Flip Chip Package Qualification of RF-IC Packages Mumtaz Y. Bora Peregrine Semiconductor San Diego, Ca. 92121 mbora@psemi.com Abstract Quad Flat Pack No Leads (QFNs) are thermally enhanced plastic packages

More information

POWER GOLD FOR 175 C Tj-max

POWER GOLD FOR 175 C Tj-max POWER GOLD FOR 175 C Tj-max James J. Wang and Bob Baird Motorola Inc. Tempe, Arizona USA James.J.Wang@motorola.com ABSTRACT Automotive is requesting engine control IC to operate in 145 C ambient. Power

More information

EQUIPMENT FOR THE CLEANING OF SILICON WAFERS USING SUPERCRITICAL FLUIDS

EQUIPMENT FOR THE CLEANING OF SILICON WAFERS USING SUPERCRITICAL FLUIDS EQUIPMENT FOR THE CLEANING OF SILICON WAFERS USING SUPERCRITICAL FLUIDS V. PERRUT* (1), J.-Y. CLAVIER (2), S. LAZURE (3), A. DANEL (4), C. MILLET (5) (1) RECIF S.A., Z.I. du moulin 31840 Aussonne France

More information

3 Embedded Capacitor Material

3 Embedded Capacitor Material 3 Embedded Capacitor Material Design and Processing Guidelines for Printed Circuit Board Fabricators Effective date: March 2004 Contents Overview Material Handling Process Compatibility Standard vs. Sequential

More information

VLSI Fabrication Process

VLSI Fabrication Process VLSI Fabrication Process Om prakash 5 th sem ASCT, Bhopal omprakashsony@gmail.com Manisha Kumari 5 th sem ASCT, Bhopal Manisha2686@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets.

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets. INF4420 Layout and CMOS processing technology Spring 2012 1 / 76 Outline CMOS Fabrication overview Design rules Layout of passive and active componets Packaging 2 / 76 Introduction As circuit designers

More information

Supercapacitors. Advantages Power density Recycle ability Environmentally friendly Safe Light weight

Supercapacitors. Advantages Power density Recycle ability Environmentally friendly Safe Light weight Supercapacitors Supercapacitors also called ultracapacitors and electric double layer capacitors (EDLC) are capacitors with capacitance values greater than any other capacitor type available today. Capacitance

More information

Comprehensive Analysis of Flexible Circuit Materials Performance in Frequency and Time Domains

Comprehensive Analysis of Flexible Circuit Materials Performance in Frequency and Time Domains Comprehensive Analysis of Flexible Circuit Materials Performance in Frequency and Time Domains Glenn Oliver and Deepu Nair DuPont Jim Nadolny Samtec, Inc. glenn.e.oliver@dupont.com jim.nadolny@samtec.com

More information

What is this course is about? Design of Digital Circuitsit. Digital Integrated Circuits. What is this course is about?

What is this course is about? Design of Digital Circuitsit. Digital Integrated Circuits. What is this course is about? What is this course is about? Design of Digital Circuitsit Design of digital microelectronic circuits.» CMOS devices and manufacturing technology.» Digital gates. Propagation delay, noise margins, and

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Material Requirements For 3D IC and Packaging Presented by: W. R. Bottoms

Material Requirements For 3D IC and Packaging Presented by: W. R. Bottoms Material Requirements For 3D IC and Packaging Presented by: W. R. Bottoms Frontiers of Characterization and Metrology for Nanoelectronics Hilton Dresden April 14-16, 2015 Industry Needs Are Changing Moore

More information

Electroplating with Photoresist Masks

Electroplating with Photoresist Masks Electroplating with Photoresist Masks Revised: 2014-01-17 Source: www.microchemicals.com/downloads/application_notes.html Electroplating - Basic Requirements on the Photoresist Electroplating with photoresist

More information

AC coupled pitch adapters for silicon strip detectors

AC coupled pitch adapters for silicon strip detectors AC coupled pitch adapters for silicon strip detectors J. Härkönen1), E. Tuovinen1), P. Luukka1), T. Mäenpää1), E. Tuovinen1), E. Tuominen1), Y. Gotra2), L. Spiegel2) Helsinki Institute of Physics, Finland

More information

T H A N K S F O R A T T E N D I N G OUR. FLEX-RIGID PCBs. Presented by: Nechan Naicker

T H A N K S F O R A T T E N D I N G OUR. FLEX-RIGID PCBs. Presented by: Nechan Naicker T H A N K S F O R A T T E N D I N G OUR TECHNICAL WEBINAR SERIES FLEX-RIGID PCBs Presented by: Nechan Naicker We don t just sell PCBs. We sell sleep. Cirtech EDA is the exclusive SA representative of the

More information

Thermal Management for Low Cost Consumer Products

Thermal Management for Low Cost Consumer Products Thermal Management for Low Cost Consumer Products TI Fellow Manager: Advanced Package Modeling and Characterization Texas Instruments rvin@ti.com Outline The challenges Stacked die, Package-on-Package,

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

PRINTED CIRCUIT BOARD SURFACE FINISHES - ADVANTAGES AND DISADVANTAGES

PRINTED CIRCUIT BOARD SURFACE FINISHES - ADVANTAGES AND DISADVANTAGES PRINTED CIRCUIT BOARD SURFACE FINISHES - ADVANTAGES AND DISADVANTAGES By Al Wright, PCB Field Applications Engineer Epec Engineered Technologies Anyone involved within the printed circuit board (PCB) industry

More information

PCB Board Design. PCB boards. What is a PCB board

PCB Board Design. PCB boards. What is a PCB board PCB Board Design Babak Kia Adjunct Professor Boston University College of Engineering Email: bkia -at- bu.edu ENG SC757 - Advanced Microprocessor Design PCB boards What is a PCB board Printed Circuit Boards

More information

From physics to products

From physics to products From physics to products From MRAM to MLU and beyond memory Magnetic Random Access Memory Magnetic Logic Unit Lucien Lombard Crocus-Technology Overview 1 - The semiconductor industry 2 - Crocus-Technology

More information

High power picosecond lasers enable higher efficiency solar cells.

High power picosecond lasers enable higher efficiency solar cells. White Paper High power picosecond lasers enable higher efficiency solar cells. The combination of high peak power and short wavelength of the latest industrial grade Talisker laser enables higher efficiency

More information

CLA Series: Silicon Limiter Diode Bondable Chips

CLA Series: Silicon Limiter Diode Bondable Chips DATA SHEET CLA Series: Silicon Limiter Diode Bondable Chips Applications LNA receiver protection Commercial and defense radar Features Established Skyworks limiter diode process High-power, mid-range,

More information

Semiconductor Process and Manufacturing Technologies for 90-nm Process Generation

Semiconductor Process and Manufacturing Technologies for 90-nm Process Generation Semiconductor Process and Manufacturing Technologies for 90-nm Process Generation 90 Semiconductor Process and Manufacturing Technologies for 90-nm Process Generation Takafumi Tokunaga Katsutaka Kimura

More information

Chapter 12. Chemical Mechanical Polishing

Chapter 12. Chemical Mechanical Polishing Chapter 12 Chemical Mechanical Polishing 1 Objectives List applications of CMP Describe basic structure of a CMP system Describe slurries for oxide and metal CMP Describe oxide CMP process. Describe metal

More information

Meeting the Thermal Management Needs of Evolving Electronics Applications

Meeting the Thermal Management Needs of Evolving Electronics Applications Meeting the Thermal Management Needs of Evolving Electronics Applications Dr. Glenn Mitchell / August 2015 Agenda Introduction Thermal Industry Trends TIM Challenges, Needs & Criteria TIM Industry Solutions

More information

PCTF Approach Saves MW/RF Component/Module Costs

PCTF Approach Saves MW/RF Component/Module Costs March 2007 Issue: Design Features PCTF Approach Saves MW/RF Component/Module Costs by: Nahum Rapoport, President, Remtec, Inc. 100 Morse Street, Norwood MA USA 02062 781-762-9191 sales@remtec.com This

More information

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Basic Properties of a Digital Design These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Which of these criteria is important

More information

DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM

DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM * Monire Norouzi Young Researchers and Elite Club, Shabestar Branch, Islamic Azad University, Shabestar, Iran *Author for Correspondence ABSTRACT

More information

Transition from AMR to GMR Heads in Tape Recording

Transition from AMR to GMR Heads in Tape Recording Transition from AMR to GMR Heads in Tape Recording John P. Nibarger Sun Microsystems 1450 Infinite Dr., Louisville CO 80027-9440 Phone: +1-303-661-2837 FAX: +1-303-661-8992 E-mail: john.nibarger@sun.com

More information

ECP Embedded Component Packaging Technology

ECP Embedded Component Packaging Technology ECP Embedded Component Packaging Technology A.Kriechbaum, H.Stahr, M.Biribauer, N.Haslebner, M.Morianz AT&S Austria Technologie und Systemtechnik AG Abstract The packaging market has undergone tremendous

More information

PCB Fabrication Enabling Solutions

PCB Fabrication Enabling Solutions PCB Fabrication Enabling Solutions June 3, 2015 Notice Notification of Proprietary Information: This document contains proprietary information of TTM and its receipt or possession does not convey any rights

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

Balancing the Electrical and Mechanical Requirements of Flexible Circuits. Mark Finstad, Applications Engineering Manager, Minco

Balancing the Electrical and Mechanical Requirements of Flexible Circuits. Mark Finstad, Applications Engineering Manager, Minco Balancing the Electrical and Mechanical Requirements of Flexible Circuits Mark Finstad, Applications Engineering Manager, Minco Table of Contents Abstract...............................................................................................

More information

On-Chip Interconnect: The Past, Present, and Future

On-Chip Interconnect: The Past, Present, and Future On-Chip Interconnect: The Past, Present, and Future Professor Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester URL: http://www.ece.rochester.edu/~friedman Future

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

Thermal Management Solutions for Printed Circuit Boards used in Digital and RF Power Electronics and LED assemblies

Thermal Management Solutions for Printed Circuit Boards used in Digital and RF Power Electronics and LED assemblies Thermal Management Solutions for Printed Circuit Boards used in Digital and RF Power Electronics and LED assemblies Sandy Kumar, Ph.D. Director of Technology American Standard Circuits, Inc 3615 Wolf Road

More information

Coating Thickness and Composition Analysis by Micro-EDXRF

Coating Thickness and Composition Analysis by Micro-EDXRF Application Note: XRF Coating Thickness and Composition Analysis by Micro-EDXRF www.edax.com Coating Thickness and Composition Analysis by Micro-EDXRF Introduction: The use of coatings in the modern manufacturing

More information

White Paper. Moisture in Hermetic Packages By Craig Hillman, PhD

White Paper. Moisture in Hermetic Packages By Craig Hillman, PhD White Paper Moisture in Hermetic Packages By Craig Hillman, PhD Moisture in Hermetic Packages Hermetic packaging of micro-electronic and opto-electronic devices is commonly utilized to protect the devices

More information

ECE 410: VLSI Design Course Introduction

ECE 410: VLSI Design Course Introduction ECE 410: VLSI Design Course Introduction Professor Andrew Mason Michigan State University Spring 2008 ECE 410, Prof. A. Mason Lecture Notes Page i.1 Age of electronics microcontrollers, DSPs, and other

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc. Failure Analysis System for Submicron Semiconductor Devices 68 Failure Analysis System for Submicron Semiconductor Devices Munetoshi Fukui Yasuhiro Mitsui, Ph. D. Yasuhiko Nara Fumiko Yano, Ph. D. Takashi

More information