CAD Algorithms. Physical Design Automation

Size: px
Start display at page:

Download "CAD Algorithms. Physical Design Automation"

Transcription

1 CAD Algorithms Physical Design Automation of VLSI Systems Mohammad Tehranipoor ECE Department 7 October Physical Design Automation Objectives: Obtain general understanding about IC design process Study design automation Study algorithms Used in designing the layout of a chip Prepare students for exposure to hard CAD problems 7 October

2 VLSI Design Cycle Large number of components: The physical design is not practical without the help of computers. Optimize requirements for higher performance Performance relates to speed, power and size. Time to market competition: Makes the use of computer necessary Cost: Using computer makes it cheaper by reducing time-to-market. Manual System Specifications Chip Automation 7 October VSLI Design Cycle (Cont.) VLSI design cycle can be divided into the following steps: System Specification: Goals and constraints of the system Functionality (what will a system do) Performance figures like speed and power Technology constraints like size and space (physical dimensions) Fabrication technology and design techniques Architectural/Functional Design: RISC, CISC, # of ALUs, floating point units, number and structure of pipelines, etc. Functional behavior and functional units to match specification Functional subunits and relationship among them 7 October

3 VSLI Design Cycle (Cont.) Logic Design: Implementation of functional subunits using logic representation Boolean expressions, finite state machines or schematics. Use of standard building blocks like RAM, ROM, PLA, etc. Logic design should match functional description. Register Transfer Level (RTL) description of subunits. RTL is expressed in VHDL or Verilog. Circuit Design Logic networks or descriptions are converted into electronic circuits. Circuit elements are designed to meet specifications. Transistors are sized for current capacity and delay requirements. Circuit simulation is used to verify the correctness and timing of each component. 7 October VSLI Design Cycle (Cont.) Physical Design: That s the focus of our course. The circuit representation is converted into a geometric representation. Geometric representation of a circuit is called layout. The exact details of the layout depends on design rules. Design rules are guidelines based on the limitations of the fabrication process. 7 October

4 VSLI Design Cycle (Cont.) CAD Tools: Layout synthesis tools are fast but do have area and performance penalties. Manual layout is very slow but does have better area and performance. Most of the layout of a high performance custom design may be done using manual design. Synopsys, Cadence, Mentor Graphics, Magma, and more Note that the objective of VLSI CAD tools are to minimize the time for each iteration and the total number of iterations, thus reducing time-to-market. 7 October VSLI Design Cycle (Cont.) Fabrication: After layout and verification, the design is ready for fabrication (called tapeout). Layout data is converted into photo-lithographic masks. Testing and Debugging: After fabrication, each die is tested. The wafer is diced into individual chips. Each chip is packaged and tested. 7 October

5 VLSI Design Cycle System Specifications Functional Design X=(AB*CD)+ Logic Design Circuit Design 7 October VLSI Design Cycle Physical Design Fabrication Packaging 7 October

6 New Trends in VLSI Design Cycles Increasing Interconnect Delay: Interconnect is not scaling at the same rate as the devices. Almost 60% of path delay may be due to interconnect. Increasing Interconnect Area: Almost 30-40% of the area is covered by interconnects in modern designs. Interconnect vs. Gate Delay Relative Delay Technology Node (nm) ITRS October New Trends in VLSI Design Cycles Increasing number of Metal Layers: To meet the increasing need of interconnect, number of metals is increasing. Up to 12 metal layer in the next few years In nanometer technology designs: More silicon area consumed by wires Miles of Cu wires Increasing wire lengths and interconnect defects, i.e. more bridging faults Increasing number of vias Metal layers Many Metal Layers 7 October

7 New Trends in VLSI Design Cycles Increasing Planning Requirements: Physical design considerations have to enter into design at much earlier phase. Synthesis: The design time can be reduced if layout can be directly generated from a higher level description. Behavioral Model Physical Layout New tools can support it. 7 October Physical Design Process Physical Design converts circuit description into a geometric description. This description is used to manufacture a chip. The input to a physical design cycle is a circuit diagram/netlist and the output is the layout of the circuit. Required Stages: Partitioning Floorplanning Placement Routing Compaction Extraction and Verification (post-layout verification) 7 October

8 New Trends in Physical Design Process Chip Level Signal Planning Routing of major signals and buses must be planned from early design stages, so that the interconnect distances can be minimized. Interconnects length directly impact circuit delay and layout area OTC Routing Over-the-cell (OTC) routing allows routing over blocks and active areas. Reduces the layout area 7 October Major Challenges Crosstalk C c C s C c C s 0.35 µm 90 nm 7 October

9 Cont. Power Supply Noise and Temperature 7 October Power Supply Noise Cont. 7 October

10 Cont. Temperature 7 October Steps of Iterative Design Process Synthesis: Synthesis derives or improves a representation at any step. Behavioral synthesis, logic synthesis and layout synthesis are all examples at various steps. Analysis: Analysis ensures that the design representation matches the requirements at various steps. Requirements like area, power dissipation and speed. Verification: Establishes the correctness of design at any given step. Simulation at any step should match specifications. 7 October

11 Design Process is Iterative Simulation-Based Verification Simulation-Based Verification Behavioral Structural Synthesis Simulation-Based Verification PNR Simulation/Emulation-Based Verification 7 October Design Styles JC Preferred style for mass production, Highly optimized layout, Time can be justified 7 October

12 Selection of Design Style Selection of design styles depends on many factors: Type of chip High performance, Area, Volume Mass production, Medium production volume, Cost Company s budget, Cost of the chip Time-to-market Last two are dominant. 7 October Full Custom Design Style Circuit partitioned into sub-blocks. Blocks can be of any size/shape Hierarchical design Placement on any location is allowed Allows very compact designs Difficult automation High performance The process of automating a fullcustom design style has a much higher complexity than other restricted styles. 7 October

13 Standard Cell Design Style Design process is somewhat simpler than full-custom design style Rectangular cells of same height Library based design Cells arranged in rows Easier automation Inherently nonhierarchical Lower performance Well suited for moderate size designs and medium production volume. Logic synthesis uses standard cell design style. 7 October Standard Cell Channel is the space between two rows. Feedthrough is the empty cells in a row. Feedthroughs are assigned for the interconnections of non-adjacent cells. Standard cell design style takes more area than full custom. Along with semiconductor manufacturing advances, standard cell methodology was responsible for allowing designers to scale ASICs from comparatively simple single-function ICs (of several thousand gates), to complex multi-million gate devices (SoC). 7 October

14 Standard Cell 2-input NAND or NOR function is sufficient to form any arbitrary Boolean function set In modern ASIC design, standard cell methodology is practiced with a sizeable library of cells The library contains multiple implementations of the same logic function, differing in area and speed This variety enhances the efficiency of automated synthesis, place and route tools It gives the designer greater freedom to perform implementation tradeoffs Area vs Speed vs Power Consumption A complete group of standard cell descriptions is commonly called a technology library. The technology library is developed and distributed by the foundry operator. 7 October Gate Array Design Style A simplification of Standard Cell Design Style Gate array design is a manufacturing method in which the diffused layers, i.e. transistors and other active devices, are predefined and wafers containing such devices are held in stock prior to metallization, in other words, unconnected A regular lattice shaped structure Pre-fabricated logic Both vertical and Horizontal channels Only wiring masks need to be defined Rapid fabrication Low performance Easy automation Non-hierarchical structure Logic synthesis can use gate array. 7 October

15 Gate Array Photo-lithographic masks are required only for the metal layers Production cycles are much shorter as metallization is a comparatively quick process Advantages/Disadvantages: The steps involved for creating any prefabricated wafer are the same Only the last few steps in the fabrication process will be used. Cheaper and easier to produce than full-custom and standard cell. It offers more area and less time. Difficulties in routing the interconnect require migration onto a larger array device with consequent increase in the price Pure, logic-only gate array design is rarely implemented by circuit designers today FPGA is preferred. 7 October Gate Array Today gate arrays are evolving into Structured ASICs Structured ASICs consist of a large IP core like a CPU, DSP unit, peripherals, standard interfaces, integrated memories SRAM, and a block of reconfigurable uncommited logic. This shift is largely because ASIC devices are capable of integrating large blocks of system functionality and "system on a chip" requires far more than just logic blocks. 7 October

16 FPGA Design Style Extremely rapid prototyping Re-programmable Lowest performance Easy automation Cells and interconnects are prefabricated. The user simply programs the interconnects. Contains programmable logic blocks and interconnects Logic blocks can be programmed to perform the function of basic logic gates such as AND, and XOR, or more complex combinational functions such as decoders or mathematical functions. FPGAs contain FFs 7 October LUT Programming Source: Fundamentals of Digital Logic, McGraw Hill October

17 LUT Programming 3-input LUT 7 October LUT Programming Sequential 7 October

18 Comparison of Design Styles Production Volume: Mass Production Volume Medium Production Volume Medium Production Volume Low Production Volume Complexity: High Low 7 October Physical Design Automation Physical design implies physical realization of integrated circuit layout. Input to physical design cycle is a circuit design Circuit netlist (gate level representation) RTL description of the circuit Output from this stage is a layout of the circuit The task from input to output is accomplished in several design stages, each addressing specific goals. 7 October

19 Physical Design Cycle 7 October Physical Design Cycle 7 October

20 Physical Design Cycle 7 October VLSI Design Automation 7 October

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

IL2225 Physical Design

IL2225 Physical Design IL2225 Physical Design Nasim Farahini farahini@kth.se Outline Physical Implementation Styles ASIC physical design Flow Floor and Power planning Placement Clock Tree Synthesis Routing Timing Analysis Verification

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing Design Verification and Test of Digital VLSI Circuits NPTEL Video Course Module-VII Lecture-I Introduction to Digital VLSI Testing VLSI Design, Verification and Test Flow Customer's Requirements Specifications

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

Programmable Logic IP Cores in SoC Design: Opportunities and Challenges

Programmable Logic IP Cores in SoC Design: Opportunities and Challenges Programmable Logic IP Cores in SoC Design: Opportunities and Challenges Steven J.E. Wilton and Resve Saleh Department of Electrical and Computer Engineering University of British Columbia Vancouver, B.C.,

More information

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut.

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut. System-on on-chip Design Flow Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems jouni.tomberg@tut.fi 26.03.2003 Jouni Tomberg / TUT 1 SoC - How and with whom?

More information

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation Testing & Verification of Digital Circuits ECE/CS 5745/6745 Hardware Verification using Symbolic Computation Instructor: Priyank Kalla (kalla@ece.utah.edu) 3 Credits Mon, Wed, 1:25-2:45pm, WEB L105 Office

More information

ECE 410: VLSI Design Course Introduction

ECE 410: VLSI Design Course Introduction ECE 410: VLSI Design Course Introduction Professor Andrew Mason Michigan State University Spring 2008 ECE 410, Prof. A. Mason Lecture Notes Page i.1 Age of electronics microcontrollers, DSPs, and other

More information

Design Cycle for Microprocessors

Design Cycle for Microprocessors Cycle for Microprocessors Raúl Martínez Intel Barcelona Research Center Cursos de Verano 2010 UCLM Intel Corporation, 2010 Agenda Introduction plan Architecture Microarchitecture Logic Silicon ramp Types

More information

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Datasheet -CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Overview -CV is an equivalence checker for full custom designs. It enables efficient comparison of a reference design

More information

VLSI Design Verification and Testing

VLSI Design Verification and Testing VLSI Design Verification and Testing Instructor Chintan Patel (Contact using email: cpatel2@cs.umbc.edu). Text Michael L. Bushnell and Vishwani D. Agrawal, Essentials of Electronic Testing, for Digital,

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng Architectural Level Power Consumption of Network Presenter: YUAN Zheng Why Architectural Low Power Design? High-speed and large volume communication among different parts on a chip Problem: Power consumption

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Space product assurance

Space product assurance ECSS-Q-ST-60-02C Space product assurance ASIC and FPGA development ECSS Secretariat ESA-ESTEC Requirements & Standards Division Noordwijk, The Netherlands Foreword This Standard is one of the series of

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Chapter 2 Logic Gates and Introduction to Computer Architecture

Chapter 2 Logic Gates and Introduction to Computer Architecture Chapter 2 Logic Gates and Introduction to Computer Architecture 2.1 Introduction The basic components of an Integrated Circuit (IC) is logic gates which made of transistors, in digital system there are

More information

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes of stuck-at

More information

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication Total Management from Rule Definition to Silicon Fabrication Soichi Inoue, Toshiya Kotani, Shigeki Nojima, Satoshi Tanaka, Kohji Hashimoto, and Ichiro Mori & Manufacturing Engineering Center, Toshiba Corporation,

More information

NAND Flash FAQ. Eureka Technology. apn5_87. NAND Flash FAQ

NAND Flash FAQ. Eureka Technology. apn5_87. NAND Flash FAQ What is NAND Flash? What is the major difference between NAND Flash and other Memory? Structural differences between NAND Flash and NOR Flash What does NAND Flash controller do? How to send command to

More information

CHAPTER 3 Boolean Algebra and Digital Logic

CHAPTER 3 Boolean Algebra and Digital Logic CHAPTER 3 Boolean Algebra and Digital Logic 3.1 Introduction 121 3.2 Boolean Algebra 122 3.2.1 Boolean Expressions 123 3.2.2 Boolean Identities 124 3.2.3 Simplification of Boolean Expressions 126 3.2.4

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division Introduction to Programmable Logic Devices John Coughlan RAL Technology Department Detector & Electronics Division PPD Lectures Programmable Logic is Key Underlying Technology. First-Level and High-Level

More information

Gates, Circuits, and Boolean Algebra

Gates, Circuits, and Boolean Algebra Gates, Circuits, and Boolean Algebra Computers and Electricity A gate is a device that performs a basic operation on electrical signals Gates are combined into circuits to perform more complicated tasks

More information

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1.

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. File: chap04, Chapter 04 1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. 2. True or False? A gate is a device that accepts a single input signal and produces one

More information

EEC 119B Spring 2014 Final Project: System-On-Chip Module

EEC 119B Spring 2014 Final Project: System-On-Chip Module EEC 119B Spring 2014 Final Project: System-On-Chip Module Dept. of Electrical and Computer Engineering University of California, Davis Issued: March 14, 2014 Subject to Revision Final Report Due: June

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical delivers superior quality

More information

EE411: Introduction to VLSI Design Course Syllabus

EE411: Introduction to VLSI Design Course Syllabus : Introduction to Course Syllabus Dr. Mohammad H. Awedh Spring 2008 Course Overview This is an introductory course which covers basic theories and techniques of digital VLSI design in CMOS technology.

More information

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i Layout and Cross-section of an inverter Lecture 5 A Layout Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London V DD Q p A V i V o URL: www.ee.ic.ac.uk/pcheung/

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton Dept. of Electrical and Computer Engineering University of British Columbia bradq@ece.ubc.ca

More information

High-Level Synthesis for FPGA Designs

High-Level Synthesis for FPGA Designs High-Level Synthesis for FPGA Designs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer consultant Cereslaan 10b 5384 VT Heesch

More information

design Synopsys and LANcity

design Synopsys and LANcity Synopsys and LANcity LANcity Adopts Design Reuse with DesignWare to Bring Low-Cost, High-Speed Cable TV Modem to Consumer Market What does it take to redesign a commercial product for a highly-competitive

More information

what operations can it perform? how does it perform them? on what kind of data? where are instructions and data stored?

what operations can it perform? how does it perform them? on what kind of data? where are instructions and data stored? Inside the CPU how does the CPU work? what operations can it perform? how does it perform them? on what kind of data? where are instructions and data stored? some short, boring programs to illustrate the

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 ELECTRONICS AND COMMUNICATION ENGINEERING Course Title VLSI DESIGN Course Code 57035 Regulation R09 COURSE DESCRIPTION Course Structure

More information

CAD TOOLS FOR VLSI. FLOORPLANNING Page 1 FLOORPLANNING

CAD TOOLS FOR VLSI. FLOORPLANNING Page 1 FLOORPLANNING FLOORPLANNING Page 1 FLOORPLANNING Floorplanning: taking layout information into account at early stages of the design process. BEHAVIORAL D. STRUCTURAL D. Systems Algorithms Processors Register transfers

More information

Figure 1 FPGA Growth and Usage Trends

Figure 1 FPGA Growth and Usage Trends White Paper Avoiding PCB Design Mistakes in FPGA-Based Systems System design using FPGAs is significantly different from the regular ASIC and processor based system design. In this white paper, we will

More information

Optimal Technology Mapping and Cell Merger for Asynchronous Threshold Networks

Optimal Technology Mapping and Cell Merger for Asynchronous Threshold Networks Optimal Technology Mapping and Cell Merger for Asynchronous Threshold Networks Cheoljoo Jeong Steven M. Nowick Department of Computer Science Columbia University Outline Introduction Background Technology

More information

Rapid System Prototyping with FPGAs

Rapid System Prototyping with FPGAs Rapid System Prototyping with FPGAs By R.C. Coferand Benjamin F. Harding AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO Newnes is an imprint of

More information

Thermal Modeling Methodology for Fast and Accurate System-Level Analysis: Application to a Memory-on-Logic 3D Circuit

Thermal Modeling Methodology for Fast and Accurate System-Level Analysis: Application to a Memory-on-Logic 3D Circuit Thermal Modeling Methodology for Fast and Accurate System-Level Analysis: Application to a Memory-on-Logic 3D Circuit Cristiano Santos 1,2, Pascal Vivet 1, Philippe Garrault 3, Nicolas Peltier 3, Sylvian

More information

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Clifford Wolf, Johann Glaser, Florian Schupfer, Jan Haase, Christoph Grimm Computer Technology /99 Overview Ultra-Low-Power

More information

RAM & ROM Based Digital Design. ECE 152A Winter 2012

RAM & ROM Based Digital Design. ECE 152A Winter 2012 RAM & ROM Based Digital Design ECE 152A Winter 212 Reading Assignment Brown and Vranesic 1 Digital System Design 1.1 Building Block Circuits 1.1.3 Static Random Access Memory (SRAM) 1.1.4 SRAM Blocks in

More information

Implementation and Design of AES S-Box on FPGA

Implementation and Design of AES S-Box on FPGA International Journal of Research in Engineering and Science (IJRES) ISSN (Online): 232-9364, ISSN (Print): 232-9356 Volume 3 Issue ǁ Jan. 25 ǁ PP.9-4 Implementation and Design of AES S-Box on FPGA Chandrasekhar

More information

Complete ASIC & COT Solutions 1986-2008

Complete ASIC & COT Solutions 1986-2008 Complete ASIC & COT Solutions 1986-2008 www.avnet-asic.com Nadav Ben-Ezer Managing Director 1 March 5th, 2008 Core Business ASIC/SoC Design and Implementation RTL Design Sub-system IP Integration RTL to

More information

Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design

Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design Department of Electrical and Computer Engineering Overview The VLSI Design program is part of two tracks in the department:

More information

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

Programming NAND devices

Programming NAND devices Technical Guide Programming NAND devices Kelly Hirsch, Director of Advanced Technology, Data I/O Corporation Recent Design Trends In the past, embedded system designs have used NAND devices for storing

More information

IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR

IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR International Journal of Engineering & Science Research IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR ABSTRACT Pathik Gandhi* 1, Milan Dalwadi

More information

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1 Module 2 Embedded Processors and Memory Version 2 EE IIT, Kharagpur 1 Lesson 5 Memory-I Version 2 EE IIT, Kharagpur 2 Instructional Objectives After going through this lesson the student would Pre-Requisite

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic Aims and Objectives E 3.05 Digital System Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk How to go

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

Digital Systems. Role of the Digital Engineer

Digital Systems. Role of the Digital Engineer Digital Systems Role of the Digital Engineer Digital Design Engineers attempt to clearly define the problem(s) Possibly, break the problem into many smaller problems Engineers then develop a strategy for

More information

International Journal of Electronics and Computer Science Engineering 1482

International Journal of Electronics and Computer Science Engineering 1482 International Journal of Electronics and Computer Science Engineering 1482 Available Online at www.ijecse.org ISSN- 2277-1956 Behavioral Analysis of Different ALU Architectures G.V.V.S.R.Krishna Assistant

More information

Memory Basics. SRAM/DRAM Basics

Memory Basics. SRAM/DRAM Basics Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

VLSI Fabrication Process

VLSI Fabrication Process VLSI Fabrication Process Om prakash 5 th sem ASCT, Bhopal omprakashsony@gmail.com Manisha Kumari 5 th sem ASCT, Bhopal Manisha2686@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This

More information

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models System Development Models and Methods Dipl.-Inf. Mirko Caspar Version: 10.02.L.r-1.0-100929 Contents HW/SW Codesign Process Design Abstraction and Views Synthesis Control/Data-Flow Models System Synthesis

More information

Codesign: The World Of Practice

Codesign: The World Of Practice Codesign: The World Of Practice D. Sreenivasa Rao Senior Manager, System Level Integration Group Analog Devices Inc. May 2007 Analog Devices Inc. ADI is focused on high-end signal processing chips and

More information

Quality. Stages. Alun D. Jones

Quality. Stages. Alun D. Jones Quality - by Design Quality Design Review Stages Alun D. Jones Design Review Stages Design Review 0 (DR0) Pre-order & quotation stage Design Review 1 (DR1) Initial kick-off and preliminary specification

More information

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: "Embedded Systems - ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: Embedded Systems - , Raj Kamal, Publs.: McGraw-Hill Education Lesson 7: SYSTEM-ON ON-CHIP (SoC( SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY 1 VLSI chip Integration of high-level components Possess gate-level sophistication in circuits above that of the counter,

More information

Yaffs NAND Flash Failure Mitigation

Yaffs NAND Flash Failure Mitigation Yaffs NAND Flash Failure Mitigation Charles Manning 2012-03-07 NAND flash is one of very few types of electronic device which are knowingly shipped with errors and are expected to generate further errors

More information

Digital VLSI Systems Design Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology, Madras.

Digital VLSI Systems Design Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology, Madras. Digital VLSI Systems Design Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 1 Introduction to VLSI Design Hello Everyone. In this lecture, we are

More information

Programming Logic controllers

Programming Logic controllers Programming Logic controllers Programmable Logic Controller (PLC) is a microprocessor based system that uses programmable memory to store instructions and implement functions such as logic, sequencing,

More information

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule All Programmable Logic Hans-Joachim Gelke Institute of Embedded Systems Institute of Embedded Systems 31 Assistants 10 Professors 7 Technical Employees 2 Secretaries www.ines.zhaw.ch Research: Education:

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

Engineering Change Order (ECO) Support in Programmable Logic Design

Engineering Change Order (ECO) Support in Programmable Logic Design White Paper Engineering Change Order (ECO) Support in Programmable Logic Design A major benefit of programmable logic is that it accommodates changes to the system specification late in the design cycle.

More information

路 論 Chapter 15 System-Level Physical Design

路 論 Chapter 15 System-Level Physical Design Introduction to VLSI Circuits and Systems 路 論 Chapter 15 System-Level Physical Design Dept. of Electronic Engineering National Chin-Yi University of Technology Fall 2007 Outline Clocked Flip-flops CMOS

More information

Pre-tested System-on-Chip Design. Accelerates PLD Development

Pre-tested System-on-Chip Design. Accelerates PLD Development Pre-tested System-on-Chip Design Accelerates PLD Development March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 Pre-tested

More information

on-chip and Embedded Software Perspectives and Needs

on-chip and Embedded Software Perspectives and Needs Systems-on on-chip and Embedded Software - Perspectives and Needs Miguel Santana Central R&D, STMicroelectronics STMicroelectronics Outline Current trends for SoCs Consequences and challenges Needs: Tackling

More information

數 位 積 體 電 路 Digital Integrated Circuits

數 位 積 體 電 路 Digital Integrated Circuits IEE5049 - Spring 2012 數 位 積 體 電 路 Digital Integrated Circuits Course Overview Professor Wei Hwang 黃 威 教 授 Department of Electronics Engineering National Chiao Tung University hwang@mail.nctu.edu.tw Wei

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler DAC 2008 Philip Watson Philip Watson Implementation Environment Program Manager ARM Ltd Background - Who Are We? Processor

More information

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING BARIS TASKIN, JOHN WOOD, IVAN S. KOURTEV February 28, 2005 Research Objective Objective: Electronic design automation

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

Introduction ABOUT THIS CHAPTER CHAPTER

Introduction ABOUT THIS CHAPTER CHAPTER CHAPTER Introduction 1 Charles E. Stroud Auburn University, Auburn, Alabama Laung-Terng (L.-T.) Wang SynTest Technologies, Inc., Sunnyvale, California Yao-Wen Chang National Taiwan University, Taipei,

More information

Introduction to System-on-Chip

Introduction to System-on-Chip Introduction to System-on-Chip COE838: Systems-on-Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT

ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT 216 ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT *P.Nirmalkumar, **J.Raja Paul Perinbam, @S.Ravi and #B.Rajan *Research Scholar,

More information

Prototyping ARM Cortex -A Processors using FPGA platforms

Prototyping ARM Cortex -A Processors using FPGA platforms Prototyping ARM Cortex -A Processors using FPGA platforms Brian Sibilsky and Fredrik Brosser April 2016 Page 1 of 17 Contents Introduction... 3 Gating... 4 RAM Implementation... 7 esign Partitioning...

More information

At-Speed Test Considering Deep Submicron Effects. D. M. H. Walker Dept. of Computer Science Texas A&M University walker@cs.tamu.

At-Speed Test Considering Deep Submicron Effects. D. M. H. Walker Dept. of Computer Science Texas A&M University walker@cs.tamu. At-Speed Test Considering Deep Submicron Effects D. M. H. Walker Dept. of Computer Science Teas A&M University walker@cs.tamu.edu Integrated Circuit Testing IC Test What s Going On Test Generation Test

More information

Hardware and Software

Hardware and Software Hardware and Software 1 Hardware and Software: A complete design Hardware and software support each other Sometimes it is necessary to shift functions from software to hardware or the other way around

More information

Case Study: Improving FPGA Design Speed with Floorplanning

Case Study: Improving FPGA Design Speed with Floorplanning Case Study: Improving FPGA Design Speed with Floorplanning - An introduction to Xilinx PlanAhead 10.1 by Consultant Kent Salomonsen (kent.salomonsen@teknologisk.dk) Picture this: the RTL is simulating

More information

Hunting Asynchronous CDC Violations in the Wild

Hunting Asynchronous CDC Violations in the Wild Hunting Asynchronous Violations in the Wild Chris Kwok Principal Engineer May 4, 2015 is the #2 Verification Problem Why is a Big Problem: 10 or More Clock Domains are Common Even FPGA Users Are Suffering

More information

A Generic Network Interface Architecture for a Networked Processor Array (NePA)

A Generic Network Interface Architecture for a Networked Processor Array (NePA) A Generic Network Interface Architecture for a Networked Processor Array (NePA) Seung Eun Lee, Jun Ho Bahn, Yoon Seok Yang, and Nader Bagherzadeh EECS @ University of California, Irvine Outline Introduction

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Prof. David Lariviere Columbia University Spring 2014 Overview What are IP Cores? Altera Design Tools for using and integrating IP Cores Overview of various IP Core Interconnect

More information

Systems on Chip Design

Systems on Chip Design Systems on Chip Design College: Engineering Department: Electrical First: Course Definition, a Summary: 1 Course Code: EE 19 Units: 3 credit hrs 3 Level: 3 rd 4 Prerequisite: Basic knowledge of microprocessor/microcontroller

More information

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit Modeling Sequential Elements with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 4-1 Sequential Circuit Outputs are functions of inputs and present states of storage elements

More information

Logical Operations. Control Unit. Contents. Arithmetic Operations. Objectives. The Central Processing Unit: Arithmetic / Logic Unit.

Logical Operations. Control Unit. Contents. Arithmetic Operations. Objectives. The Central Processing Unit: Arithmetic / Logic Unit. Objectives The Central Processing Unit: What Goes on Inside the Computer Chapter 4 Identify the components of the central processing unit and how they work together and interact with memory Describe how

More information

3D innovations: From design to reliable systems

3D innovations: From design to reliable systems 3D innovations: From design to reliable systems Uwe Knöchel, Andy Heinig Fraunhofer IIS, Design Automation Division Zeunerstraße 38, 01069 Dresden uwe.knoechel@eas.iis.fraunhofer.de Phone: +49 351 4640

More information

CONTROL SYSTEMS, ROBOTICS, AND AUTOMATION - Vol. XIX - Automation and Control in Electronic Industries - Popovic D.

CONTROL SYSTEMS, ROBOTICS, AND AUTOMATION - Vol. XIX - Automation and Control in Electronic Industries - Popovic D. AUTOMATION AND CONTROL IN ELECTRONIC INDUSTRIES University of Bremen, Germany Keywords: design automation, computer-aided design, rapid prototyping, semiconductors production, process monitoring and control,

More information

Semiconductor Memories

Semiconductor Memories Semiconductor Memories Semiconductor memories array capable of storing large quantities of digital information are essential to all digital systems Maximum realizable data storage capacity of a single

More information

A Mixed-Signal System-on-Chip Audio Decoder Design for Education

A Mixed-Signal System-on-Chip Audio Decoder Design for Education A Mixed-Signal System-on-Chip Audio Decoder Design for Education R. Koenig, A. Thomas, M. Kuehnle, J. Becker, E.Crocoll, M. Siegel @itiv.uni-karlsruhe.de @ims.uni-karlsruhe.de

More information

KEEP IT SYNPLE STUPID

KEEP IT SYNPLE STUPID Utilizing Programmable Logic for Analyzing Hardware Targets Dmitry Nedospasov SHORT DESCRIPTION Hardware security analysis differs from software security analysis primarily in the tools

More information

ARM Webinar series. ARM Based SoC. Abey Thomas

ARM Webinar series. ARM Based SoC. Abey Thomas ARM Webinar series ARM Based SoC Verification Abey Thomas Agenda About ARM and ARM IP ARM based SoC Verification challenges Verification planning and strategy IP Connectivity verification Performance verification

More information