Defects Reduction of Nano-Semiconductor Dual Damascene Process Development

Size: px
Start display at page:

Download "Defects Reduction of Nano-Semiconductor Dual Damascene Process Development"

Transcription

1 Defects Reduction of Nano-Semiconductor Dual Damascene Process Development Proceedings of the SEM Annual Conference June 1-4, 2009 Albuquerque New Mexico USA 2009 Society for Experimental Mechanics Inc. Chun-Jen Weng Department of Technology Management Leader University Tainan, 709 Taiwan, R.O.C. ABSTRACT To be successful in the competitive nano-semiconductor industry, the need to reduce cost per die is necessary and always challenging. Such defect data consist of systematic and random defects that may be yield limiting or may be just cosmetic issue with low probability of yield impact. Nano semiconductor process manufacturing defects can often impact product yields, depending upon the type, size, and location of the defect, as well as the design and yield sensitivity of the respective semiconductor product devices. This paper presents comprehensive the investigating a process defects monitor and integration on semiconductor copper manufacturing process and technology, and module process integration of the problem of defects reduction on semiconductor etching manufacturing processes. This paper presents our study on the cause of sphere defects in dual damascene trench isolation etching process. As device geometries are reduced, understanding and minimizing the sources of process-induced defects is critical to achieving and maintaining high device yields. In this paper, systemic identification and classification approach has been introduced to improve process yield by defect sampling for SEM review. Experiments were performed to identify the defect source and determine the mechanism of defect formation. The solutions implement to eliminate this issue are presented Keywords: Defects, Wafer, BEOL, Process Integration, Copper Dual Damascene 1. Introduction Semiconductor process defect data consist of systematic and random defects that may be yield limiting or may be just cosmetic issue with low probability of yield impact. However, the overall yield impact from manufacturing process defects has been difficult to assess since the defect sensitivity, capture rates, and classification for these defects has often been poor on product wafers. As device geometries are reduced, understanding and minimizing the sources of process-induced defects is critical to achieving and maintaining high device yields. Low-k dielectrics and Cu patterns have been extensively investigated as materials that can reduce the parasitic capacitance of ULSI interconnect. Defects and the corresponding product yield impact were observed to vary significantly between silicon wafer manufacture processes. Abnormal phenomena lead to yield loss during electrical device test and productivity yield losses. Dual damascene processes demand cleanliness since defects at trough etch result in opens of electrical device, but could also cause problems in later via etch process steps. The efficient yield of integrated circuits (IC's) wafer fabrication, successful development and implementation of new processes technologies requires optimization of all parameters. In semiconductor fabrication industry, the tight geometries found in small feature sizes, higher pattern density and high aspect ratio contribute to the faster chips the market demands, but also require improved performance with respect to defect density. The patterns missing phenomena were always occurred on sub-micron semiconductor processes. The higher pattern density and high aspect ratio always result in pattern abnormal and collapse, because of the not optimal parameter for process feasibility. In the semiconductor submicron process area, it is difficult to maintain the high effects on yield and device performance owing to process control, the complicated structure of physical geometry and stress induced on the semiconductor wafer. The abnormal phenomena will

2 leads to yield loss on electrical device test and productivity yield losses. Therefore, for deep submicron semiconductor module process, optimal technology process step integration is desired for achieving a high performance device. Jean et al. [1] investigated the process development characterization and performance evaluation of low-k dielectrics to form multi-level Cu interconnects for the 65 nm complementary metal oxide semiconductor (CMOS) technology. It has been common knowledge that pattern collapse of this back-end-of-line (BEOL) duel damascene process could be prevented by optimal the process. To control pattern collapse, Koba et al. [2] indicated and demonstrated that tri-layer resist process had a high applicability for device fabrication in BEOL. Su et al. [3] proposed a hybrid BEOL dual damascene interconnect approach with organic ultra-low-k for gap filling. The traditional photoresist approach with via-first process for dual damascene suffers from ashing damage for chemical vapor deposition (CVD) ultra-low-k. The approach is able to circumvent the issues mentioned above without introducing process complication. It is important to use inspect and classify wafers for defect inspection check for advanced semiconductor wafer manufacturing processes. Moreover, BEOL defects have increasing occurrence probability than front-end-of-line (FEOL) defects in nanometer technologies. Epitaxial defects (e.g., stacking faults, epi-spikes, mounds, hillocks, and pits) can often impact product yields, depending upon the type, size, and location of the defect, as well as the design and yield sensitivity of the respective semiconductor product devices. Williams et al. [4] evaluated the yield impact of epitaxial defects on advanced semiconductor technologies. Advanced bright field inspection tools available today applied on development wafer may often result in 100k to 1M defects per wafer. Such defect data consist of systematic and random defects that may be yield limiting or may be just cosmetic issue with low probability of yield impact. Moreover, Yeh and Park [5] propose novel technique described here provided a way of detecting and identifying such systematic defect, enabling wafer manufactures to quickly resolve the defects inspection issue. Dual damascene processes demand cleanliness since defects at trough etch result in opens, but could also cause problems with later via etch process steps. Therefore, for deep sub-micron era, high pattern density technology is desired for achieving a high performance device. Consequently, for semiconductor sub-micron process integration and development, it is difficult to maintain high effects on yield and device performance due to process control especially on BEOL process operation, because of the complicate physical pattern structure and defects induced on semiconductor wafer processes. Biolsi et al. discussed a defect reduction program of a manufacturing line through inline metrology and yield controls. It included the determination of source of defects, correcting marginal hardware and verifying the defect improvement. The new pattern wafer detection was needed to find the particles responsible for yield loss on production wafers. A statistical approach was used to reduce the variability of the line control. A defect reduction program of a manufacturing line through inline metrology and yield controls. It included the determination of source of defects, correcting marginal hardware and verifying the defect improvement. Nagaishi et al. [7] investigated defect reduction measures performed during the development of a 130-nm Cu dual-damascene process. A copper hillock induced interconnect failure mechanism is presented. The copper hillock is frequently generated during a copper dual damascene process and hillock formation is found to degrade the interconnect integrity by affecting the following process steps. Kim et al. [8] observed a copper hillock induced defect model is proposed and a new copper process is suggested to reduce copper hillocks. Hichri, [9] outlined yield improvements in the integration of damascene copper in low-k SiCOH irtermetal dielectric at 65 nm dimensions. Large defect reductions were seen by Reactive Ion Etching (RIE), wet cleans and CMP process optimization. RIE improvements led to reductions of thirty three percent for missing pattern defects while wet clean optimization resulted in more man a fifty percent reduction in metal voids CMP carrier head changes provided a more corrosion resistant process and higher throughput. Copper (Cu) line shorts defects have a high potential of becoming yield killers for device manufacturing. As design rules shrink the importance of a process free of metal shorts becomes essential to increase FAB yield performance. One of the main challenges of analyzing short defects caused by various physical mechanisms is that when reviewed by top view SEM image after the each process step. Porat et al. [10] resolved the root cause mechanisms of the chemical mechanical polish (CMP) process defects by using in line focused ion beam (FIB) cross. 2. Results and Discussion 2.1 BEOL Dual Damascene Processes Technology Defect inspection metrology is an integral part of the yield ramp and process monitoring phases of semiconductor manufacturing. High aspect ratio structures have been identified as critical structures where

3 there are no known manufacture solutions for defect detection. A serious problem in wafer fabrication is the defects issue during the pattern development process, because it decreases the yield of wafer production. Abnormal patterning phenomena lead to yield loss during the electrical device test and productivity yield losses. The present study relates to the field of semiconductor fabrication and, more particularly, to optimal method for processes integration approach for forming BEOL damascene patterning processes. Dual damascene processes demand cleanliness since defects generated during trough etching result in abnormal data of the electrical device, and can also cause problems in later process steps of next interconnect layer. Therefore, in the deep submicron era, high-pattern-density technology is desired for achieving a high-performance device. The use of etching and lithography technologies to improve the submicron process has been known for years and many semiconductor process technologies have been proposed. However, it has been known that abnormal pattern and numerous defects could be prevented by numerously process module tuning. Copper is used widely for metal interconnection in ULSI due to its lower resistivity and superior resistance against electro-migration. As copper duel damascene process is complicated and critical in semiconductor wafer processes manufacturing. The process development characterization and performance evaluation of low-k dielectrics to form multi-level Cu interconnects for the sub-micro CMOS technology defects reduction was presented. Traditional excursion monitoring relies on defect count or density. However, process or tool induced problems may arise during wafer fabrication that cause scratches and other spatial signatures on the wafer that will not be caught by statistical process control (SPC) methods if the raw wafer defect count or density is low. A dual damascene process can be used to form an interconnection on semiconductor. As via first scheme is employed for dual damascene patterning, trench patterning process has been posed many challenges to the patterning process. Film deposing and interconnect cross-section structure of BEOL copper dual-damascene scheme was shown in Fig.1 and Table1. For the electrical device special needs leads to film stack increasing, then results process challenges on lithography and etching processes. As metal interconnect line aspect ratio, pattern density, and metal interconnect layers increased, these schemes could be more complicate and challengeable than traditional process on etching and lithography module fabrication processes. One of the significant difficulty in multi-level interconnects that may be implemented by process tuning and optimal manufacturing processes integration. Metal interconnect trace Dielectric film BEOL Top wide Metal / Via connection Inter connection Metal / Via Before etching Line Width = Wide Line Width =280 nm Film deposition Depth ~5000 A ~8500 A Inter-Connect Aspect Ratio ~3.57 ~3.04 P - P - N - N - P + P + N + N + N-Well P-Well FEOL Shallow Trench Isolation Si Fig.1 Semiconductor standard copper process metal physical Table 1 Physical dimension and metal inter-connect scheme 2.2 Defects Inspection As design rules continue to shrink, the demand increases for effective inspection tools to detect defects that affect device yields. Performance is essential to enable the manufacturing of advanced devices, and to ensure acceptable line yields from a defect perspective and fabrication yields from a device perspective. Interconnect line shorts defects have a high potential of becoming yield killers for device manufacturing. To be successful in the competitive semiconductor industry, the need to reduce cost per die is necessary and always challenging. It is important to produce better yield of die per wafer by minimizing the cycle time to detect and fix yield problems associated with the advanced process module technology. Defect inspect metrology refers to the techniques and procedures for determining physical and electrical properties of the wafer during the fabrication. One of the main challenges of analyzing short defects caused by various physical mechanisms is that when reviewed by top view SEM image after etching patterning process step. The way for us to resolve the root cause mechanisms of the above mentioned defect types is by using in line SEM review and FIB cross sectioning analysis. Productivity yield, or wafer electrical sort yield can be separated into three components on process: random defect limited yield, systematic yield, and repeating yield loss. Systematic yield losses are process-related problems that can affect all die on a wafer, some die on a wafer, or die by region on a wafer.

4 The defects images show various types of topographic defects such as hills, islands, holes and valleys. These types of topographical defects could prevent proper adhesion of some films, and lead to delamination of interconnects. Before selecting the appropriate method for removing the wafer defects, defects images classifications were examined from several production process wafers to better understand the cause and extent of the defects. The abnormal pattering is easily found in etching process. The BEOL in-line process defect monitor maps and classification of AEI (After Etching Inspection) were shown in Fig.2. Defect reduction and process change experiments are typically evaluated based on defect density, which is susceptible to previous layer or process module induced defects. Such defect data combine systematic and random defects that may be yield limiting or just nuisance defects. It is difficult to identify systematic defects from defect wafer map by l defect classification where random sample defects are reviewed on review scanning electron microscope (SEM). Missing important systematic defect types by traditional sampling technique can be very costly in device introduction. To systemic classification defects, 100 defects were reviewed and categorization. In-line defect monitoring in manufacturing, the majority of defects inspection is to class process excursions and identify the sources of yield-limiting (killer) defects. The result was compared against design layout to confirm that the defects were occurring at certain locations of design layout. Afterwards the defect types were reviewed using SEM and in-line focused ion beam (FIB) for further confirmation. Based on the inspection technique, we were able to filter out a systematic defect type quickly and efficiently from wafer map that consist of random and systematic defects. Wafer 1 Wafer 2 Wafer 3 Fig.2 In-line wafer maps of process defect inspection As circuit design becomes more complex, more circuit failures will be caused by defects. Etching bi-products, processing chamber defects are the killer impact defects, and abnormal patterning process defects, which were shown in Fig. 3 to Fig.6. There yield killer defects may be resulted from abnormal pattern developments, material, and process limitations. In wafer processing, a series of tradeoffs always exists balancing chemical cost, process throughput, and removal efficiency. Particle performances were conducted to determine the effectiveness of integrated processes. While the inspection revealed that the defects were particles of processing equipment chambers and etching polymer residuals, approximately 10 20%. Figure 3 shows the inspection of particles of processing chamber and process bi-products. Product yield is greatly improved after the reduction of defectivity. Figure 4 demonstrates BEOL etch-polymer characteristics also have varying properties due to feature density, exposed films, and etch chemistries. Semiconductor studied problems resulting from insufficient solvent cleaning of metal lines and via holes that can lead to killer particle defects. The work also focused on residual etch-polymer issues, which cause yield loss and reliability problems. It was discovered how inappropriate BEOL cleans and process defects originating from cleaning tools themselves were limiting yield. After etching, the photoresist and some etch polymer is removed using an oxygen plasma process. Some polymer remains after ash, due to polymer increase on the sidewall. Compounding the problem is an even higher amount of polymer seen on metal lines in areas where a large amount of metal was removed. BEOL cleans also must maintain very low defectivity levels to prevent yield loss. Fig.3 In-line processing chamber cleanness results in defects increasing Fig.4 Polymer residue defects by patterning etching process Fig.5 Photolithography process abnormal patterning defects. Fig.6 Etching patterning process defects due to abnormal patterning

5 Most of the systematic pattern related defects are detected during the process development. These defects are detectable with abnormal patterning structures or process. As geometries continue to shrink, the ramp up time to reach stabilised yield is increasing while the yield at maturity is declining. This is primarily due to systematic pattern related defects and needs to be addressed more effectively. Optimal methodologies are required to improve manufacturability by reducing the number of systematic defects. To develop these abnormal patterning issue results process issues include pattern missing, peeling, dielectric breakdown, and serious defects line process development control strategies. The abnormal pattern related defects were shown in Fig.5 and Fig.6 for lithography and etching process, respectively. Systematic defects preferentially occur in certain design layouts due to either printability issues or process-layout interactions. Eliminating these systematic mechanisms early in a semiconductor fabricator s technology ramp is essential toachieving an efficient yield targets. The mechanism of pattern related defect is related to dual damascene litho process that could not correctly define the interconnect line, thus creating shorts between metal lines. 2.3 Processes Improvement As technology scales down from one node to the other, interconnects become the limiting factor affecting circuit performance. The BEOL stack has to be finely tuned through material and process optimizations to meet speed requirements. As the progress of the semiconductor process develops to achieve miniaturization and attain better performances for the electronic device, next-generation IC chips with deep sub-micron Cu/low-k stacked structures adopting the fabrication of dual damascene are developed to meet the urgent requirements of reducing high RC delay; the purpose of this is to obtain high-speed signal communication. Moreover, owing to the reduction of pitch and thickness materials, the process variability control will become a major issue to resolve. The major reliability challenges that must be overcome to achieve the scaling targets for future integrated circuits (ICs) are at the BEOL process. A novel method for BEOL manufacturing processes is provided for enabling fabricating using a metal interconnects fabrication process. As via first scheme is employed for dual damascene patterning, trench lithography and etching processes have been posed many challenges to the patterning process. One of the significant difficulty in multi-level interconnects that may be implemented by process tuning and optimal integration. As semiconductor fabrication process is complicated, standard copper dual damascene manufacturing method of a BEOL metal trench was presented in Fig 7. A dual damascene process can be used to form an interconnection on semiconductor. These demonstrations are important because of the density and interconnect sizes achieved by the potential extension to wafer-scale stacking. A first dielectric layer is formed on a semiconductor substrate having a device layer formed thereon. Vias were formed and patterned on the dielectric layer so that an opening is formed to expose the gate device therein. The metal trench penetrating through the dielectric layer is formed, and the device layer within the contact window is exposed. It is challenge on via and metal lithography and trench process especially for physical and electrical device in dual damascene process. The abnormal pattern issue results process issues include pattern missing, peeling, dielectric breakdown, and serious defects on process development control strategies. Fig.8 shows the in-line process abnormal pattern phenomena, and the abnormal pattern collapse phenomena which will results in the electrical bridge and open during wafer electrical accept test and yield loss in mass production. Therefore, the etching selectivity in pattern developing becomes a critical concern from lithography pattern developing process. The abnormal process development phenomena indicate that photo-resistant abnormal phenomena may result pattern collapse, photo-resistant shortage on pattern developing process. To be successful in the competitive semiconductor industry, the need to reduce cost per chip is necessary and always challenging. It is important to produce better die chip per wafer by minimizing the cycle time to detect and fix yield problems associated with the advanced process module technology. Systematic yield losses are process-related problems that can affect all die chips on a wafer. The abnormal process induced defects increasing in process as show in Fig.8. To systemic classification defects and yield improving, the majority of defects classification is to class process excursions and identify the sources of yield-limiting (killer) defects. PR PR (a) Film (b) Via developing (c) Via etching (d) Cleaning (d) Trench developing (e) Trench etching (f) Cleaning (g ) Barrier layer (h) Copper Plating (i) Copper polish Fig.7 Standard semiconductor via first BEOL dual damascene process

6 Gap-filling process is a technique that has many applications in semiconductor production. In semiconductor wafer manufacturing, the gap-filling process is often used after openings are formed. The gap-filling material treatment may include etching the dielectric layer and the gap-filling material layer to planarize the gap-filling material layer. In addition, the gap-filling material treatment may also include performing a plasma processing, an ultraviolet curing or a chemical immersion of the gap-filling material layer to form a protective layer over the gap-filling material layer. However, the width and pitch of metal interconnect trace scare down as electrical device needed, and the aspect ration of trace line was also increased. This phenomenon will result in difficulties in manufacturing process. From Fig.9, gap-filling photo-resistant is not enough to coating via gap because of high aspect ratio and properties of gap fill material especially in dense patterns. The abnormal developed patterns will continuous found on trench developing process. Fig.10 demonstrates the abnormal phenomena especially in dense patterns. Line / Space-0.42um Line / Space-0.14um 850nm 538nm 456nm 850nm Isolation pattern Dense pattern (a) Defects map (b) SEM (C) cross-section (a) Isolation pattern (a) Dense pattern Fig.8 Comparison of photo-resistant abnormal and pattern missing on dense pattern Fig.9 Comparison of gap-fill between As damascene structure, a photo-resist pattern missing, etching selectivity and chemical mechanical polish are especially challengeable during damascene structure of wafer fabrication. As BEOL film stack depends on the electrical device required and manufacturing process module process needed, the dielectric film buffer is extreme high for etching selectivity and CMP buffer loss as Fig.11 shown. The photo-resistant height is also increased in proportion to the film stack deposition. Consequently, lithography and etching process of BEOL dual damascene become complicate and difficult. Photo resistant Etching selectivity on pattern ~ Film depth Etching process loss buffer ~ 1000 A CMP process loss buffer ~1000 A SiOx SiNx SiOx SiNx Unit:A Fig.10 Photo-resistant abnormal between isolation/dense patterns Fig.11 Fabrication process buffer and physical structure for BEOL layer Novel approach to solve the problem of pattern collapse was shown in as Fig.12. The present study is to provide a lithography gap-filling and BARC (Bottom Anti Reflect Coating) process capable of producing improved surface planarity so that a subsequently formed photo-resist layer over the gap-filling material layer also has a better flat surface for sub-micron device manufacturing processes. A gap-filling material treatment of the surface of the gap-filling material layer and the BARC plasma etching treatment are carried out to planarize the gap-filling material layer so that a subsequently formed bottom material coating layer over the interconnect traces can have a high degree of planarity. The photo resistant material treatment may include lightly plasma etching back the dielectric layer and gap material layer to planarize the gap surface. The aspect ration is increasing as electrical device needed. Significant modifications and improvements have been implemented to overcome those challenges as design rules shrink, via/trench processes optimization. A substrate having a dielectric layer thereon is provided. The dielectric layer has an opening therein. A gap-filling photo-resistant material and BARC material properties as Table 2 shown, etching back plasma treatment of the surface of the gap-filling material, BARC layer and the dielectric layer is carried out to planarize coating surface. As etching selectivity, loading effects, and aspect ratio, the photo-resistant easily to collapse and abnormal phenomena after lithography developed process, this study describes a new process integration step to be added in the interconnect fabrication process. The verifications of process were also demonstrated by step by check. For sub-micro via first BEOL integration process, the depth of via is high and etching process results were shown as Fig.13. For via etching process, there is no abnormal physical geometry on interconnect line even dense pattern. However, the trench patter developing is more difficult than via developing. The gap-filling material layer is etched to form a planar surface. Hence, any layer deposited over the gap-filling material layer can have a high level of planarity that facilitates the formation of a correct pattern in subsequent photolithographic and etching operation. Following Fig.12 proposed modified manufacturing process flow, there will be a more flat plane gap-filling material layer and BARC for photolithographic and etching processes even on dense patterns. In Fig.14, the physical cross-section of isolation trench pattern (line/space=0.14/0.42um)

7 and dense trench pattern (line/space=0.14/0.14um) were perfect after lithography developing process. There is not any pattern collapses or pattern missing on dense and isolated pattern around wafer center and edge on process even high aspect ratio metal interconnect by present novel integrated treatment process. In this present integrated process, any layer deposited over the gap-filling material layer and BARC can have a high level of planarity that facilitates the formation of a correct pattern in subsequent lithographic and etching process. Photo-resistant Photo-resistant Proposed optimal techniques Photo-resistant B ARC1 BARC2 (a) Film (b) Via developing (c) Via etching (d )Cleaning (e) GFP_1 Coating (f) GFP_2 Coating (g) GPF_2 Etching back (h) BARC (j) Trench (i) BARC (k) Trench etching (l) cleaning Copper process developing Fig.12 Proposed optimal new dual damascene interconnect Table 2 A gap-filling photo-resistant and BARC material properties GFP Specifications: BARC Properties Solids 14 ± 1% Optimal Thickness nm 25 Deg C 5.4 ± 0.5cstks 193nm 1.51 Refractive index nm 0.57 Film thickness 3,900 ± 200 4,000 rpm n@633nm 1.52 Appearance Clear, Yellow Flash Point >100 F (>38 C) Initial transmission (365 nm) < 6.5 Ions: Al, K, Cu, Mg, Mn <25 ppb Final transmission (365 nm) > 83.5 Ions: Fe, Ca, Na <50 ppb Dense Isolation wide pattern 280 nm ~ 800 nm 420 nm ~ 800 nm Fig.13 In-line pattern cross-section inspection after via etching process. Dense pattern Isolation pattern (a) Dense pattern profile (b) Isolation pattern profile Fig.14 Comparison of photo-resistant developing Moreover, to verify not any pattern collapses and missing the trench pattern after etching process developed for tight dense interconnect pattern on critical dense trench pattern (line/space=0.14/0.14um). Fig.15 indicates the pattern the high-density pattern for AEI (After Etching Inspection) on process in-line check points. There is no pattern collapse, missing phenomena found. After via etching process, via interconnects profile are good, and the etching selectivity issue by photo-resistant was not found. As electrical device needs, the semiconductor BEOL process is challengeable and marginal in module process integration. Furthermore, the physical cross-section of interconnects trench pattern were also shown in Fig.16. From cross-section check, the top and bottom trench patterns were not found rounding and abnormal physical geometry. From Fig.13 to Fig 16, there is no pattern missing and abnormal physical geometry found on BEOL trace pattern by step-by-step in-line check and verification by the present novel and optimal manufacturing process. For the electrical test verification, the electrical resistance and bridge were also included in present study. The comparison of bridge current was shown in Fig.17, the present novel integrated processes technique found tight than existing manufacturing process. This test pattern is always to check the semiconductor process in-line electrical and physical checking. The drain voltage (V D =1V), source voltage (V S ) and substrate voltage (V SUB ) =0V, Measure electrical current (I d ), Electrical resistance Rc = V D / I d. in Fig.18, electrical resistance indicates electrical device test performance of copper metal trace line for electrical device requirements. These data distribute tight and no open electrical test data found. To prevent the metal short of the isolated pattern next to or surrounding by the wide metal, the minimum space with wide neighboring metal was defined. Using these proposed optimal and novel integrated processes, the physical geometry and electrical performance could be better performance than original existed process. Fig.15 In-line verification after etching inspection (AEI) process Fig16 In-line pattern cross-section inspection after trench etching process

8 KS846.01_IBRM2_p14_p13 Present technique Existing original process 1.0E KS846.01_RSM2_p14_p13 Present technique Existing original process Bridge Current (A) 1.0E E E E-14 #1 #2 #3 #4 Wafer ID Fig.17 Electrical bridge current comparison 3. Conclusions Rs (ohm) #1 #2 #3 #4 Wafer wafer_id ID Fig.18 Electrical Resistant device comparison This is primarily due to systematic pattern related defects and needs to be addressed more effectively by optimal methodologies. The present novel and optimal BEOL technique is to provide a gap-filling and BARC etching back treatment process capable of producing a improved surface planarity so that a subsequently formed a bottom photo-resist layer coating over the gap-filling and BARC material layer also has a better surface planarity. This paper presents a new and optimal process integration step to be added in the BEOL interconnect fabrication process. This study presents a gap-filling treatment and BARC optimal process for BEOL dual damascene process. To improve systematic defects and provide better planarity for regions; and to provide good adhesion for the photo-resist layer thus reducing pattern collapse were included in present investigation. Acknowledgment The author gratefully acknowledges the support and assistance of NSC E References [1] CC. Jeng, W.K. Wan, and H.H. Lin, BEOL process integrationof 65nm Cu/low k interconnects, Interconnect Technology Conference, Proc. of the IEEE 2004 International Volume, p ,2004. [2] F. Koba, K. Matsumaro, and E. Soda, Tri-layer resists process for fabricating 45-nm L&S patterns by EPL, Proc. of the SPIE 6151, p , [3] Y. N. Su, J. H. Shieh, and P. P. Hsu, Low k damage control & its reliability for organic hybrid dual damascene, physical and failure analysis of integrated circuits, Proc. Int. Symp. Physical and Failure Analysis of Integrated Circuits, p , [4] R. Williams, R. Jacques, M. Akbulut, and W. Chen, Evaluation of the yield impact of epitaxial defects on advanced semiconductor technologies, IEEE Int. Symp. Semicond. Manuf. Conf. p.1-7, [5] J.H. Yeh and A. Park, Novel Technique to Identify Systematic and Random Defects during 65 nm and 45nm Process Development for Faster Yield Learning, ASMC Adv. Manuf. Conf. Proc., p.54 57, [6] P. Biolsi, S. Ellinger, D. Morvay, Defect reduction methodology for advanced copper dual damascene oxide etch, IEEE Int. Symp. Semicond. Manuf. Conf. Proc., p , 2000 [7] H. Nagaishi, M. Fukui, H. Asakura, and A. Sugimoto, Defect reduction in Cu dual damascene process using short-loop test structures, IEEE Trans Semicond Manuf, v 16, p , [8] S. Kim, C. Shim, J. Hong, H. Lee, J. Han, K. Kim, and Y. Kim, Copper hilock induced copper diffusion and corrosion behavior in a dual damascene process, Electrochem. Solid State Letters, v 10, p , [9] H. Hichri, J. O Sippel, S.L. Grunow, C. Bunke, J. Kelp, R. Fang, D. Kulkarni, M. Angyal, T. Houghton, A. Santiago, K. Kumar, C. Majors, J. Fitzsimmons, H. Nye,, D. Watts, J. Mazzotti, Integration solutions for 65 nm back end of line defect reduction and manufacturability,, ECS Transactions, v11, p , [10] R. Porat, H. Eshwege, E. Valfer,D David,D Pepper; F. Cricchio, B. Hinschberger,D. Kolar, Inline defect root cause analysis of Cu CMP shorts using dual beam FIB, ASMC Adv. Manuf. Conf. Proc., p 53-55, 2008.

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2 Solid State Phenomena Vol. 134 (2008) pp 359-362 Online available since 2007/Nov/20 at www.scientific.net (2008) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.134.359 Metal Hard

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Our Embedded Dream of the Invisible Future

Our Embedded Dream of the Invisible Future Our Embedded Dream of the Invisible Future Since the invention of semiconductor chips, the evolution of mankind s culture, society and lifestyle has accelerated at a pace never before experienced. Information

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc. Failure Analysis System for Submicron Semiconductor Devices 68 Failure Analysis System for Submicron Semiconductor Devices Munetoshi Fukui Yasuhiro Mitsui, Ph. D. Yasuhiko Nara Fumiko Yano, Ph. D. Takashi

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

To meet the requirements of demanding new

To meet the requirements of demanding new Optimising LED manufacturing LED manufacturers seek new methods to reduce manufacturing costs and improve productivity in an increasingly demanding market. Tom Pierson, Ranju Arya, Columbine Robinson of

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

This paper describes Digital Equipment Corporation Semiconductor Division s

This paper describes Digital Equipment Corporation Semiconductor Division s WHITEPAPER By Edd Hanson and Heather Benson-Woodward of Digital Semiconductor Michael Bonner of Advanced Energy Industries, Inc. This paper describes Digital Equipment Corporation Semiconductor Division

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Lecture 30: Cleanroom design and contamination control

Lecture 30: Cleanroom design and contamination control Lecture 30: Cleanroom design and contamination control Contents 1 Introduction 1 2 Contaminant types 2 2.1 Particles.............................. 2 2.2 Metal ions............................. 4 2.3 Chemicals.............................

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets.

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets. INF4420 Layout and CMOS processing technology Spring 2012 1 / 76 Outline CMOS Fabrication overview Design rules Layout of passive and active componets Packaging 2 / 76 Introduction As circuit designers

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com Introduction to Semiconductor Manufacturing Technology Chapter 1, Introduction Hong Xiao, Ph. D. hxiao89@hotmail.com Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objective After taking this

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost Comparison study of FETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost David Fried, IBM Thomas Hoffmann, IMEC Bich-Yen Nguyen, SOITEC Sri Samavedam, Freescale Horacio Mendez, SOI Industry

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

INTELLIGENT DEFECT ANALYSIS SOFTWARE

INTELLIGENT DEFECT ANALYSIS SOFTWARE INTELLIGENT DEFECT ANALYSIS SOFTWARE Website: http://www.siglaz.com Semiconductor fabs currently use defect count or defect density as a triggering mechanism for their Statistical Process Control. However,

More information

Wipe Analysis to Determine Metal Contamination on Critical Surfaces

Wipe Analysis to Determine Metal Contamination on Critical Surfaces By Albert Dato, Ph.D., Warren York, Jennifer Jew, Laarni Huerta, Brice Norton, and Michael Coste On-wafer metallic contamination is detrimental to the fabrication and performance of semiconductor devices.

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

Semiconductor Process and Manufacturing Technologies for 90-nm Process Generation

Semiconductor Process and Manufacturing Technologies for 90-nm Process Generation Semiconductor Process and Manufacturing Technologies for 90-nm Process Generation 90 Semiconductor Process and Manufacturing Technologies for 90-nm Process Generation Takafumi Tokunaga Katsutaka Kimura

More information

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004 How to Build a Printed Circuit Board 1 This presentation is a work in progress. As methods and processes change it will be updated accordingly. It is intended only as an introduction to the production

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

DualBeam Solutions for Electrical Nanoprobing

DualBeam Solutions for Electrical Nanoprobing DualBeam Solutions for Electrical Nanoprobing Richard J. Young, Technologist Peter D. Carleson, Product Marketing Engineer Electrical testing by physically probing device structures has grown more challenging

More information

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Kenji MAWATARI, Koich SAMESHIMA, Mitsuyoshi MIYAI, Shinya MATSUDA Abstract We developed a new inkjet head by applying MEMS

More information

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M Miniaturizing Flexible Circuits for use in Medical Electronics Nate Kreutter 3M Drivers for Medical Miniaturization Market Drivers for Increased use of Medical Electronics Aging Population Early Detection

More information

Nanotechnologies for the Integrated Circuits

Nanotechnologies for the Integrated Circuits Nanotechnologies for the Integrated Circuits September 23, 2015 Dr. Bertrand Cambou Professor of Practice NAU, Cybersecurity School of Informatics, Computing, and Cyber-Systems Agenda The Market Silicon

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages by Lim Kok Hwa and Andy Chee STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 kokhwa.lim@statschippac.com; kenghwee.chee@statschippac.com

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

Electroplating with Photoresist Masks

Electroplating with Photoresist Masks Electroplating with Photoresist Masks Revised: 2014-01-17 Source: www.microchemicals.com/downloads/application_notes.html Electroplating - Basic Requirements on the Photoresist Electroplating with photoresist

More information

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Songlin Xu, a Ce Qin, Li Diao, Dave Gilbert, Li Hou, and Allan Wiesnoski Mattson Technology, Inc., Fremont,

More information

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson What s New in Lithography? Wafer dimensions are still accelerating downward towards ever smaller features

More information

High power picosecond lasers enable higher efficiency solar cells.

High power picosecond lasers enable higher efficiency solar cells. White Paper High power picosecond lasers enable higher efficiency solar cells. The combination of high peak power and short wavelength of the latest industrial grade Talisker laser enables higher efficiency

More information

Optimization of Photosensitive Polyimide Process for Cost Effective Packaging

Optimization of Photosensitive Polyimide Process for Cost Effective Packaging Optimization of Photosensitive Polyimide Process for Cost Effective Packaging Peter Cheang, Lorna Christensen, Corinne Reynaga Ultratech Stepper, Inc. San Jose, CA 95134 Recent developments in the use

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

VLSI Fabrication Process

VLSI Fabrication Process VLSI Fabrication Process Om prakash 5 th sem ASCT, Bhopal omprakashsony@gmail.com Manisha Kumari 5 th sem ASCT, Bhopal Manisha2686@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Lapping and Polishing Basics

Lapping and Polishing Basics Lapping and Polishing Basics Applications Laboratory Report 54 Lapping and Polishing 1.0: Introduction Lapping and polishing is a process by which material is precisely removed from a workpiece (or specimen)

More information

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication Total Management from Rule Definition to Silicon Fabrication Soichi Inoue, Toshiya Kotani, Shigeki Nojima, Satoshi Tanaka, Kohji Hashimoto, and Ichiro Mori & Manufacturing Engineering Center, Toshiba Corporation,

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Yield Is Everyone s s Issue. John Kibarian CEO, President and Founder PDF Solutions

Yield Is Everyone s s Issue. John Kibarian CEO, President and Founder PDF Solutions Yield Is Everyone s s Issue John Kibarian CEO, President and Founder PDF Solutions Nanometer Technologies New Materials at Every Node 248nm Al-Cu TEOS 248nm + OPC Al-Cu FSG 248nm + OPC Cu FSG 193nm + OPC/PSM

More information

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices Rapid Prototyping and Development of Microfluidic and BioMEMS Devices J. Sasserath and D. Fries Intelligent Micro Patterning System Solutions, LLC St. Petersburg, Florida (T) 727-522-0334 (F) 727-522-3896

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

FLEXIBLE CIRCUITS MANUFACTURING

FLEXIBLE CIRCUITS MANUFACTURING IPC-DVD-37 FLEXIBLE CIRCUITS MANUFACTURING Below is a copy of the narration for DVD-37. The contents of this script were developed by a review group of industry experts and were based on the best available

More information

Good Boards = Results

Good Boards = Results Section 2: Printed Circuit Board Fabrication & Solderability Good Boards = Results Board fabrication is one aspect of the electronics production industry that SMT assembly engineers often know little about.

More information

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm STMicroelectronics Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI SOI Processes 130nm, 65nm SiGe 130nm CMP Process Portfolio from ST Moore s Law 130nm CMOS : HCMOS9GP More than Moore

More information

Lithography Part I September, 5 th 2013

Lithography Part I September, 5 th 2013 7. Auswärtsseminar der Arbeitsgruppe Optische Technologien Leupold-Institut für Angewandte Naturwissenschaften (LIAN) der Westsächsischen Hochschule Zwickau Lithography Part I September, 5 th 2013 Heiko

More information

Chapter 11 PVD and Metallization

Chapter 11 PVD and Metallization Chapter 11 PVD and Metallization 2006/5/23 1 Metallization Processes that deposit metal thin film on wafer surface. 2006/5/23 2 1 Metallization Definition Applications PVD vs. CVD Methods Vacuum Metals

More information

1700V Bi-Mode Insulated Gate Transistor (BIGT) on Thin Wafer Technology

1700V Bi-Mode Insulated Gate Transistor (BIGT) on Thin Wafer Technology 1700V Bi-Mode Insulated Gate Transistor (BIGT) on Thin Wafer Technology Munaf Rahimo, Jan Vobecky, Chiara Corvasce ISPS, September 2010, Prague, Czech Republic Copyright [2010] IEEE. Reprinted from the

More information

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Heather Schriner, Brady Davies, Jeffry Sniegowski, M. Steven Rodgers, James Allen, Charlene Shepard Sandia National Laboratories

More information

Keeping Current to Stay Competitive in Flex PCB Laser Processing

Keeping Current to Stay Competitive in Flex PCB Laser Processing White Paper Keeping Current to Stay Competitive in Flex PCB Laser Processing Market Drivers, Trends and Methodologies ESI by Patrick Riechel, PCB Product Manager The push for smaller, cheaper and more

More information

CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS

CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS 5.1 INTRODUCTION The manufacturing plant considered for analysis, manufactures Printed Circuit Boards (PCB), also called Printed Wiring Boards (PWB), using

More information

Application Note: PCB Design By: Wei-Lung Ho

Application Note: PCB Design By: Wei-Lung Ho Application Note: PCB Design By: Wei-Lung Ho Introduction: A printed circuit board (PCB) electrically connects circuit components by routing conductive traces to conductive pads designed for specific components

More information

Evaluating Surface Roughness of Si Following Selected Lapping and Polishing Processes

Evaluating Surface Roughness of Si Following Selected Lapping and Polishing Processes Applications Laboratory Report 86 Evaluating Surface Roughness of Si Following Selected Processes Purpose polishing of samples is a common application and required for a variety of manufacturing and research

More information

Embedded Integrated Inductors With A Single Layer Magnetic Core: A Realistic Option

Embedded Integrated Inductors With A Single Layer Magnetic Core: A Realistic Option Embedded Integrated Inductors With A Single Layer Magnetic Core: A Realistic Option - Bridging the gap between discrete inductors and planar spiral inductors - Dok Won Lee, LiangLiang Li, and Shan X. Wang

More information

Preface xiii Introduction xv 1 Planning for surface mount design General electronic products 3 Dedicated service electronic products 3 High-reliability electronic products 4 Defining the environmental

More information

New 3-Dimensional AFM for CD Measurement and Sidewall Characterization

New 3-Dimensional AFM for CD Measurement and Sidewall Characterization New 3-Dimensional AFM for CD Measurement and Sidewall Characterization ASTRACT Yueming Hua *, Cynthia uenviaje-coggins Park Systems Inc. 34 Olcott St. Santa Clara, CA 9554, USA Yong-ha Lee, Jung-min Lee,

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

How compact discs are made

How compact discs are made How compact discs are made Explained by a layman for the laymen By Kevin McCormick For Science project at the Mountain View Los Altos High School Abstract As the major media for music distribution for

More information

Sn-Cu Intermetallic Grain Morphology Related to Sn Layer Thickness

Sn-Cu Intermetallic Grain Morphology Related to Sn Layer Thickness Journal of ELECTRONIC MATERIALS, Vol. 36, No. 11, 2007 DOI: 10.1007/s11664-007-0270-x Ó 2007 TMS Special Issue Paper -Cu Intermetallic Grain Morphology Related to Layer Thickness MIN-HSIEN LU 1 and KER-CHANG

More information

Scanning Surface Inspection System with Defect-review SEM and Analysis System Solutions

Scanning Surface Inspection System with Defect-review SEM and Analysis System Solutions Scanning Surface Inspection System with -review SEM and Analysis System Solutions 78 Scanning Surface Inspection System with -review SEM and Analysis System Solutions Hideo Ota Masayuki Hachiya Yoji Ichiyasu

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

Concepts and principles of optical lithography

Concepts and principles of optical lithography 1/56 2/56 Concepts and principles of optical lithography Francesc Pérez-Murano Institut de Microelectrònica de Barcelona (CNM-IMB, CSIC) Francesc.Perez@cnm.es 10 cm mà blia 1 cm Gra de sorra Xip 1 mm 100

More information

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons D.Monaghan, V. Bellido-Gonzalez, M. Audronis. B. Daniel Gencoa, Physics Rd, Liverpool, L24 9HP, UK. www.gencoa.com,

More information

Use of Carbon Nanoparticles for the Flexible Circuits Industry

Use of Carbon Nanoparticles for the Flexible Circuits Industry Use of Carbon Nanoparticles for the Flexible Circuits Industry Ying (Judy) Ding, Rich Retallick MacDermid, Inc. Waterbury, Connecticut Abstract FPC (Flexible Printed Circuit) has been growing tremendously

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

Failure Analysis (FA) Introduction

Failure Analysis (FA) Introduction Failure Analysis (FA) Introduction (III - Reliability ) Tung-Bao Lu 1 of 23 Reliability Stress Stress Reliability Geberal Condition Temperature Humidity Electrical Others Precondition Baking/L3/Reflowing

More information

Balancing the Electrical and Mechanical Requirements of Flexible Circuits. Mark Finstad, Applications Engineering Manager, Minco

Balancing the Electrical and Mechanical Requirements of Flexible Circuits. Mark Finstad, Applications Engineering Manager, Minco Balancing the Electrical and Mechanical Requirements of Flexible Circuits Mark Finstad, Applications Engineering Manager, Minco Table of Contents Abstract...............................................................................................

More information

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius JePPIX Course Processing Wet and dry etching processes Huub Ambrosius Material removal: etching processes Etching is done either in dry or wet methods: Wet etching uses liquid etchants with wafers immersed

More information

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Introduction............................. 2. Electrostatic Charging of Samples in Photoemission Experiments............................

More information

PRINTED CIRCUIT BOARD SURFACE FINISHES - ADVANTAGES AND DISADVANTAGES

PRINTED CIRCUIT BOARD SURFACE FINISHES - ADVANTAGES AND DISADVANTAGES PRINTED CIRCUIT BOARD SURFACE FINISHES - ADVANTAGES AND DISADVANTAGES By Al Wright, PCB Field Applications Engineer Epec Engineered Technologies Anyone involved within the printed circuit board (PCB) industry

More information

Ultra Low Profile Silicon Capacitors (down to 80 µm) applied to Decoupling Applications. Results on ESR/ESL.

Ultra Low Profile Silicon Capacitors (down to 80 µm) applied to Decoupling Applications. Results on ESR/ESL. Ultra Low Profile Silicon Capacitors (down to 80 µm) applied to Decoupling Applications. Results on ESR/ESL. Laurent Lengignon, Laëtitia Omnès, Frédéric Voiron IPDiA, 2 rue de la girafe, 14000 Caen, France

More information